electron beam lithography review paper - ee541 dublin city university

10
AbstractElectron beam lithography (EBL) is a lithographic technique that is widely used in the semiconductor industry for nanostructure fabrication. EBL is a high resolution technique that recently pushed fabrication of these nanostructures to 10nm and below. High-energy electrons (10-100keV) are used focused into a narrow beam and used to expose regions on an electro-sensitive resist. The critical dimensions of these patterns are limited to electron scattering and its substrate. KeywordsElectron Beam Lithography, Resolution limits, Nanostructures, Critical Dimensions, proximity effect. I. INTRODUCTION Gordon Moore stated that the number of transistors on an Integrated circuit would double every year since the integrated circuit was invented, in recent times this law has been revised to eighteen months to two years. It is important to understand why this has slowed down and its effects on the semiconductor industry. The reason behind this is down to the ever shrinking geometries of these transistors; new and ongoing techniques are being researched and developed all the time to continue to reduce the geometries of these transistors for the coming years. Performance improvements for these devices are down to the minimum printable feature sizes (critical dimension or CD) resulting in higher speed transistors, higher packing densities and lower power dissipation in CMOS circuits [1] . Currently devices that are in production contain substructures around the 16nm and 14nm range. This document is aimed towards looking at Electron- beam lithography to be used to produce substructures 10nm and below, while also giving an understanding of its resolution limits as well as applications where EBL can be used. II. OVERVIEW Electron Beam lithography (EBL) is a key technique for fabricating patterns at the nanoscale level. EBL tools were developed in the late 1960s by modifying the Scanning Electron Microscope (SEM) design. The difference between an EBL system and an SEM is that in the EBL case, a pattern generator is used to give instructions to the beam to be scanned onto the sample while in SEM, an image is formed using the beam to collect secondary electrons as it is raster scanned across the sample [2] . SEM tools can operate up to 30kev but for high resolution structures, EBL operates up to 100kv [2] . EBL is used to deposit electrons to expose certain regions of resist to give desired patterns. It operates on the basis of condensing electrons to a finely focused beam to expose regions of negative or positive photoresist to alter the resists solubility [5] . An EBL system consists of a chamber, an electron gun and a column and an example of a typical EBL system is shown in figure 1. Figure 1. Typical schematic of an EBL system (Image courtesy of “Electron Beam Technology in microelectronic fabrication[4] ) III. ELECTRON COLUMN A set of pumps are used to maintain a high vacuum in which the column and chamber are situated. The operation of this vacuum is to stop the interaction of gas molecules with the electron beam to minimize the risk of scattering the beam [2] . The column consists of an electron source/electron gun that is used to produce the electrons, along with a set of electromagnetic lenses used to focus and guide the beam. The on/off operation is controlled by a blanker, this is usually a set of electrostatic plates. The off operation occurs when a voltage Electron Beam Lithography: Resolution limits and applications Ray Tyndall, School of electronic engineering, Dublin City University, Glasnevin Dublin 7, Ireland. [email protected]

Upload: ray-tyndall

Post on 20-Feb-2017

524 views

Category:

Engineering


7 download

TRANSCRIPT

Page 1: Electron Beam Lithography review paper - EE541 Dublin City University

Abstract—Electron beam lithography (EBL) is a lithographic

technique that is widely used in the semiconductor industry for

nanostructure fabrication. EBL is a high resolution technique that

recently pushed fabrication of these nanostructures to 10nm and

below. High-energy electrons (10-100keV) are used focused into a

narrow beam and used to expose regions on an electro-sensitive

resist. The critical dimensions of these patterns are limited to

electron scattering and its substrate.

Keywords— Electron Beam Lithography, Resolution limits,

Nanostructures, Critical Dimensions, proximity effect.

I. INTRODUCTION

Gordon Moore stated that the number of transistors on an

Integrated circuit would double every year since the integrated

circuit was invented, in recent times this law has been revised

to eighteen months to two years. It is important to understand

why this has slowed down and its effects on the

semiconductor industry. The reason behind this is down to the

ever shrinking geometries of these transistors; new and

ongoing techniques are being researched and developed all the

time to continue to reduce the geometries of these transistors

for the coming years. Performance improvements for these

devices are down to the minimum printable feature sizes

(critical dimension or CD) resulting in higher speed

transistors, higher packing densities and lower power

dissipation in CMOS circuits [1]. Currently devices that are in

production contain substructures around the 16nm and 14nm

range. This document is aimed towards looking at Electron-

beam lithography to be used to produce substructures 10nm

and below, while also giving an understanding of its resolution

limits as well as applications where EBL can be used.

II. OVERVIEW

Electron Beam lithography (EBL) is a key technique for

fabricating patterns at the nanoscale level. EBL tools were

developed in the late 1960s by modifying the Scanning

Electron Microscope (SEM) design. The difference between

an EBL system and an SEM is that in the EBL case, a pattern

generator is used to give instructions to the beam to be

scanned onto the sample while in SEM, an image is formed

using the beam to collect secondary electrons as it is raster

scanned across the sample [2]. SEM tools can operate up to

30kev but for high resolution structures, EBL operates up to

100kv [2]. EBL is used to deposit electrons to expose certain

regions of resist to give desired patterns.

It operates on the basis of condensing electrons to a finely

focused beam to expose regions of negative or positive

photoresist to alter the resists solubility [5]. An EBL system

consists of a chamber, an electron gun and a column and an

example of a typical EBL system is shown in figure 1.

Figure 1. Typical schematic of an EBL system (Image

courtesy of “Electron Beam Technology in microelectronic

fabrication” [4])

III. ELECTRON COLUMN

A set of pumps are used to maintain a high vacuum in which

the column and chamber are situated. The operation of this

vacuum is to stop the interaction of gas molecules with the

electron beam to minimize the risk of scattering the beam [2].

The column consists of an electron source/electron gun that is

used to produce the electrons, along with a set of

electromagnetic lenses used to focus and guide the beam. The

on/off operation is controlled by a blanker, this is usually a set

of electrostatic plates. The off operation occurs when a voltage

Electron Beam Lithography: Resolution limits

and applications

Ray Tyndall, School of electronic engineering, Dublin City University, Glasnevin Dublin 7, Ireland.

[email protected]

Page 2: Electron Beam Lithography review paper - EE541 Dublin City University

is applied across these plates, this deflects the beam off its axis

and is then blocked by a downstream aperture [9].

Alignment systems are used to center the beam in the column.

A stigmator is a special type of lens used to correct any

astigmatism in the beam due to any imperfections in the

alignment of the EBL column or contamination in the optical

column. At different lens settings, the electron beam may

become focused in different directions, changing the shape of

the e-beam to a more oblong shape rather than the nominal

rounded shape. This causes the resulting image to become

smeared and damaged [9].

An electrostatic deflection system is used to control the

deflection angle of the incident e-beam, this determines the

positional accuracy of the beam as it irradiates the sample [10].

An electron detector is used to assist in locating marks on the

sample, lastly a wafer handling system is used for the loading

and unloading of wafers [9].

Figure 2.1 gives the cross section area of an electron beam

column with the ray-trace of the electrons as they pass through

each of the defined areas of the electron optical components.

Figure 2.1 EBL column (Image courtesy of

www.cornell.edu/cnf_spie2.html [9])

The focusing system for an EBL tool is comprised of a four-

tier set of electro-magnetic lenses. As the electron beam

passes through these lenses, the beam spot diameter when

entering a sample can be reduced to almost one tenth of its

original size [10]. A 15 ~ 20nm diameter electron beam in turn

can be reduced to less than 2nm when entering a sample [10].

Figure 2.2 gives a cross-section drawing of a high resolution

electron beam lithography tool with thermionic field emission

source with ray trace of e-beam passing through four

electromagnetic lenses to reduce the spot diameter size.

Figure 2.2 Ray-trace of e-beam passing through the

electromagnetic lenses. (Image courtesy of “High-energy

Electron Beam Lithography for Nanoscale Fabrication” [10])

IV. ELECTRON SOURCE

The electron source is where the electrons are generated.

These electrons can be emitted from a conducting material in

two ways and can be categorized as the following:

1. Field emission: A high electric field is applied to a

solid (e.g. Tungsten), the free electrons of the solid

are able to escape through the barrier (work function)

into the vacuum by means of the quantum

mechanical tunneling effect [3, 9,].

2. Thermionic emission: conducting material is heated

to the point where the electrons are given enough

thermal energy to overcome the barrier (work

function) of the source material [3, 9], an electric

potential is combined with this to give these electrons

direction and velocity [12].

Thermal Field emitters: Thermionic emission compliments

field emission in that some thermal energy can be given to the

electrons, to lower the potential barrier and to increase the

successful escape of these electrons from the surface [12].

An electron source has three key parameters [3, 9, 13].

1. Brightness: The brighter the source, the higher the

current density is in the electron beam, this is measured

in A/cm2/steradians.

2. Virtual source size: this determines how much

demagnification is to be done to achieve the desired

beam diameter.

Page 3: Electron Beam Lithography review paper - EE541 Dublin City University

3. Energy Spread: The energy spread of the emitted

electrons, this is measured in electron volts (eV)

These parameters for different electron source materials can

be found below in Table 1.

Table 1. Electron source materials and parameters (Table

courtesy of http://www.cnf.cornell.edu/cnf_spie2.html [9])

It can be seen from Table 1 that the thermal field emitter

otherwise known as the Schottky emitter, has a brightness level

that is very close to the cold field emitter source, with a small

source size and a moderate energy spread. Thermal field

emitters normally operate at 1800K and give better standards

for EBL over thermionic sources [3,9].

V. ELECTRON INTERACTIONS

The goal of EBL writing is to achieve patterns in the resist that

uniformly holds high density, high resolution and high

reliability substructures. For this to occur, there are certain

aspects that must be controlled and is governed by key

determinants such as the choice of resist (positive or negative),

electron beam energy and dose, the ability to create a finely

focused beam of electrons to minimize the point spread

function due to the quality of the electron optics[5].

Other factors include forward and backscattering of electrons

(proximity effects) in which the delocalization of electrons

occur causing fluctuations in the size of features due to line

edge roughness.

As an electron penetrates the resist/substrate, it can have two

types of interactions known as forward scattering and

backscattering. A series of low energy elastic collisions start

to occur between the electrons being projected into the

resist/substrate with that of electrons of atoms of the

resist/substrate. This collision will cause the incident electron

to alter its trajectory while also passing some of its energy to

the atom. This is known as forward scattering and is

detrimental when trying to create substructures of 10nm and

below.

The atom will then become excited due to gaining extra

energy from the incident electron, causing an electron of the

atom to be released resulting in a secondary electron in the

material[6].

Forward scattering causes the effective incident beam size to

broaden when entering into the material and is more

pronounced at low energies. In forward scattering, the

deflected angle in the change of trajectory of the incident

electron is as a rule, small [6].

Forward scattering can be reduced by increasing the

accelerating voltage of the incident beam [3].

To calculate the increase in beam diameter, An empirical

formula is given in (1) is used where Δd is the change in the

incident beam diameter as it enters the resist, tr is thickness of

the resist and Vb is the accelerating voltage of the electron

beam [3].

𝛥𝑑 = 0.9(𝑡𝑅

𝑉𝑏)1.5 (1)

Due to the primary electrons giving up a lot of their energies

due to the formation of these secondary electrons, they begin to

slow. These secondary electrons have energy ranges from 2 to

50eV and are mostly responsible for the actual exposure of the

resist [3,6]. These secondary electrons are the primary reason for

the diameter of the incident beam to broaden and can cause

features to be developed larger than originally intended.

While in forward scattering, the incident electron gives up most

of its energy to the atom and slows down with a resulting small

change in trajectory; Backscattering is the result of an electron

projected into the resist/substrate, colliding with a much heavier

nucleus, causing the electrons trajectory to change dramatically.

The scattering angle in this case can be very large. The electrons

when colliding with the atom retain a lot of their energies and

in cases where the deflection angle is very large, electrons can

be scattered back into the resist exposing the resist in areas

outside of the intended exposure region. This effect is known as

the proximity effect and can be seen in Figure 3 where an

incident electron beam exposes a defined region A, but with

backscattering effects, an area outside this region (region B)

gets exposed to these electrons.

Figure 3 Proximity effect

(Image courtesy of

nanolithography.gatech.edu/proximity.htm[6])

This proximity effect can cause problems such as narrow lines

between two exposed areas to be completely developed in

positive resists, or a small feature does not get its correct dose

due to the loss of the scattering effect that it does not develop

completely [3,7].

To minimize backscattering, it is useful to use substrates with a

Page 4: Electron Beam Lithography review paper - EE541 Dublin City University

low atomic number.

It can be seen from Figure 4(a) the incident electron colliding

with an electron from the target atom resulting in a small change

in its trajectory while (b) shows the electron colliding with the

nucleus resulting in a large scattering angle that can lead to

backscattering.

Figure 4 (c) shows forward scattering and backscattering

resulting from an incident electron beam. From this figure it can

be seen when an electron is backscattered and retains most of

its energy can scatter back into the resist.

For head on collisions between the electron and the nucleus, the

energy transfer is given by the formula [6]

E=E0(1.02+E0/106)/(465.7A) (2)

Where E0 is the incident beam energy, and A is the atom

number of the target.

Figure 4. (a) Forward scattering (b) Backscattering (Image

courtesy of nanolithography.gatech.edu/proximity.htm[6])

Figure 4 (c) Forward/Back scattering

(Image courtesy of www.slideplayer.com/slide/4312318/)

Forward and Backscattering can be expressed by the Point

Spread Function (PSF). This function represents the energy

deposited in the electron sensitive resist from a single point of

incidence [23].

The function is modelled using the sum of the two Gaussian

distributions which represent both the forward scattering and

backscattering electrons [6].

This sum of the forward and backscattered distributions is

known as the double Gaussian model and can be seen in (3).

𝑓(𝑟) =1

1+𝑛(

1

𝜋𝛼2 exp (−𝑟2

𝛼2) +𝑛

𝜋𝛽2 exp (−𝑟2

𝛽2)) (3)

In this equation, n is the ratio between the backscattered energy

and the forward scattering energy, α is the forward scattering

range parameters and β is the backscattering range

parameters[6]. Sometimes a third term may be required to take

into consideration fast secondary electrons in the intermediate

range [22]. Figure 4 (d) shows a comparison of the point spread

function over a range of electron energies from 50keV to

100keV. It can be seen that using higher electron energy results

in a narrower PSF [23].

Figure 4(d). PSF over 50keV to 100keV (Image courtesy of

Nanofabrication:Principles, capabilities and Limit [23])

VI. Forward/Backscattering Correction and Avoidance

There are techniques being used to minimize the scattering

effects leading to forward and backscattering. To minimize the

proximity effect, the dose may need to be adjusted until the

pattern comes out the desired size. This method is normally

used for isolated gate structures.

Multilevel resists have been used also to combat this effect by

creating a top layer that is sensitive to electrons while the

pattern developed in this layer is transferred to an underlying

layer by dry etching. This adds complexity to the process but

reduces the forward scattering effect [7].

To minimize forward scattering, higher beam voltages can be

used but also can increase the chances of backscattering.

The proximity effect can be eliminated by using low beam

energies in cases where the electron range is smaller than the

minimum feature size[7], in this case the resist must be a single

layer resist and also must be made smaller than the minimum

feature size. This allows it to be possible for the electron to

expose the entire film thickness [3,7].

By changing the exposure process through dose modulation, a

different exposure amount can be used to expose different

features on a pattern, this means that large features would

require a smaller dose of electrons while smaller features

would require higher doses.

Page 5: Electron Beam Lithography review paper - EE541 Dublin City University

A technique has been developed called GHOST that is used in

proximity correction. This technique uses a defocused beam to

mimic the shape of the backscatter distribution and the inverse

of the pattern is written.

GHOST uses this inverse pattern to give an additional

exposure dose to correct the backscatter in the primary dose

exposure. Through this technique, the combined distribution

leads to excellent line width control and can be seen in Figure

4.

Figure 4: Example of GHOST used to counteract the

proximity effect. (Image courtesy Electron Beam from past to

present [3])

This technique requires no computation to implement but

because it requires an additional exposure dose, this results in

a loss of throughput [11].

In conclusion, it can be seen that the amount of proximity

effects depend directly on the substrate/resist material used,

the accelerating voltage, the process used and the location and

size of the features being written [8].

VII. EBL RESISTS

In Electron Beam Lithography, there are two types of resist

that can are used that can be chemically changed under

exposure of an electron beam. Positive tone resists and

negative tone resists. These resists are polymers and

depending on their chemical structure, will either become

crosslinked or chain-scissioned under exposure to an electron

beam [14].

Positive tone resists when subject to an incident electron

beam, converts the solubility of the resist from a low to a high,

enabling the exposed area to be removed by a solvent.

In a positive tone resist, this is called chain scission as the

polymer chains are broken into smaller molecular fragments

which in turn reduces the molecular weight of the substance

allowing it to be dissolved easily by a developer that attacks

the low molecular weight substance.

An example of this would be PMMA (polymethyl

methacrylate). PMMA is a polymeric material that under

exposure from the electron beam causes the cutting of these

polymer chains.

PMMA is one of the first resist materials created and it still

holds true today that PMMA resists are regularly used in EBL

tools.

PMMA has several different molecular weight forms and if it

becomes overexposed, it can in fact change to a negative tone

e-beam resist.

Negative tone resists converts the material to a low solubility

state. In a negative tone resist, areas that are exposed to the

electron beam become cross-linked. Crosslinking is the term

used for when these polymer bonds under electron beam

exposure to become bonded together, this creates a three

dimensional polymer with a molecular weight higher than the

area not under exposure. When a developer is added, the area

not exposed will be removed due to its lower molecular

weight.

Examples of this would be Polyglycidylmethacrylate-

coethylacrylate (COP), or hydrogen silsesquixane (HSQ).

Negative aspects of COP is that it can swell during

development so it in turn lowers the resolution below that of a

positive photoresist [16].

The difference in the resists when exposed to an e-beam can

be seen in figure 5 when developed after exposure.

Figure 5. (a)Positive resists become Cross-scissioned (b)

Negative resists become chain-linked

(Image courtesy of

www.optics.rochester.edu/workgroups/cml/opt307/spr10/xiaos

hu/Lithography.html#Pattern_design )

VIII. EBL SYSTEMS

E-beam lithography systems can be broken into two main

categories: (1) electron beam projection lithography and (2)

direct write electron beam lithography.

A breakdown of this can be seen in figure 6.

Page 6: Electron Beam Lithography review paper - EE541 Dublin City University

Figure 6. Electron Beam Systems

(Image courtesy of www.hendersonresearchgroup/helpful-

primers-introductions/intro-to-ebeam-litho)

In direct-write systems, there are two methods used to scan the

beam across the sample [16].

1. Raster scan: The electron beam is passed over the

entire sample sequentially (vertically orientated), the

blanking system operates to turn on and off the e-

beam to expose the desired regions and can be seen

in figure 7(a).

2. Vector scan: The main advantage of vector scan over

raster scan is that the electron beam does not scan the

whole sample but in turn jumps from feature to

feature to expose and can be seen in figure 7 (b). This

saves time as not all of the sample may need to be

exposed.

Figure 7 (a) Raster scan (b) Vector Scan

(Image courtesy of www.hendersonresearchgroup/helpful-

primers-introductions/intro-to-ebeam-litho)

E-beam lithography tools regularly give better resolution and

depth of focus over other industry based lithography

techniques but its main disadvantage is that it cannot cope in

throughput and cost over its optical systems rivals.

One such advancement has been proposed by TSMC (Taiwan

SemiConductor Manufacturing Company) along with KLA-

Tencor to allow Multiple-electron direct patterning of features

to allow for high volume production [17].

This is governed under certain advancements such as in digital

electronics, this has allowed for affordable pricing in

equipment to enable very high throughput, an increase in

beam number with high speed writing can be supported by

new micro electrical and packaging systems [17].

To achieve this, a reflective e-beam lithography (REBL)

system was designed comprising of a reflective electron

optics, a digital pattern generator, temporal dose integration,

optical wafer alignment, and magnetic levitation stage

technologies [17].

This system can be seen in figure 8.

Figure 8 (a). REBL Tool

(Image courtesy of Multiple-electron beam direct-write comes

of age [17])

It operates by an electron beam being projected from an

electron gun into a series of lenses that bend and project the e-

beam onto the digital pattern generator to illuminate it at a 90

degree angle.

This digital pattern generator is comprised of a CMOS ASIC

chip with an array of small, independently controllable

metallic cells or pixels facing this incoming e-beam.

This array is designed to either absorb incident electrons or

reflect them back through the optics to etch the desired pattern

on the wafer.

Page 7: Electron Beam Lithography review paper - EE541 Dublin City University

This operates on the basis that the incoming e-beam

illuminates an array of small electrodes on the digital pattern

generator. A negative bias of 1-2V is applied to this entire

array [19].

The incoming electrons are slowed down to approximately

1ev before illuminating the electrodes as they pass through the

decelerating field of the electrostatic digital pattern lens. The

incoming decelerated electrons are repulsed by this negative

potential are reflected back through the digital pattern lens

causing them to re-accelerate as they pass through the

accelerating electrostatic field. These accelerated reflected

electrons are used to pattern the wafer [19]. The ray trace

diagram can be seen in Figure 8(b) of a typical REBL system.

Figure 8(b) REBL Ray diagram indicating (i) The illuminating

beam from the Electron gun to the Digital Pattern Generator

are shown in blue, (ii) The modulated reflected beam from the

Digital Pattern Generator is shown in red.

(Image courtesy of [19])

A small positive bias can be applied to some of the electrodes,

allowing them to absorb the incoming electrons, these

absorbed electrons do not get reflected. A high speed data

processor is used to switch between a negative/positive biased

state. The image reflected will have both bright (reflected) or

dark (absorbed) areas corresponding to the positive or

negative biased state of the electrode [19].

This digital pattern generator allows the REBL system to

produce a parallel lithographic exposure using over a million

electron beams at extremely high data rates. The switching of

the voltages on these pads to absorb or reflect electrons is

controlled and limited by the data processor. The switching

can only be as fast as the data processor in operation, but can

enable fast and smooth direct–write operation.

The electron column for this tool is designed for 10cm and

allows for good column clustering on the wafer [17] and can be

seen in figure 8(c).

Figure 8 (c). Linear stages for multi-column high-volume

manufacturing.

(Image courtesy of Multiple-electron beam direct-write comes

of age [17])

Research and development is currently in operation for a

multi-column high volume lithography REBL tool for the

10nm technology node with 100 digital pattern generators and

columns [19].

This new design has the potential to exceed 193nm immersion

lithography technology in wafer throughput per hour making it

a serious contender in the semiconductor industry [19].

A technique created by AT&T Bell labs in the early 90’s

called Scattering with Angular Limitation Projection Electron

–beam Lithography (SCALPEL) was believed to be a

candidate for the next generation lithography process [20]. As

e-beam technology is deemed a maskless technique to pattern

wafers, this SCALPEL technique uses what is called a

scattering mask in its process. These scattering masks are used

to scatter the electrons and not absorb the electrons, resulting

in no thermal instability in the mask [3].

SCALPEL was researched using 100 keV electrons, this

technique was researched using electrons as they do not hold

to diffraction characteristics as in optical lithography. It is a

reduction image technique which uses high energy electrons

and their scattering ability. This system uses a mask consisting

of a basic structure of a low atomic membrane covered by a

high atomic number material patterned scattered layer. This

techniques, since using high energy electrons, utilize the

difference in the electron scattering characteristics between

these two layers [21]. The low atomic number membrane layer

scatters the electrons weakly and to small angles, while the

high atomic number material pattern layer scatters the strongly

and to high angles [21].

An electron beam is projected towards the scattering mask, the

incident e-beam is scattered to high angles when passing

through the patterned layer, these scattered electrons are then

focused and blocked by a downstream aperture. The aperture

blocks unwanted energy from being projected to the wafer

plane. Since the low atomic number membrane is near

transparent to the high energy incident e-beam, the electrons

undergo narrow scattering angles. These electrons are focused

and allowed to pass through the aperture. A magnetic lens

focuses these electrons, and a small amount of the electron

beams energy is transferred to the wafer. Figure 9(a) shows a

SCALPEL system scattering electrons at high and low angles

as it passes through the scattering mask. A reduced image of

Page 8: Electron Beam Lithography review paper - EE541 Dublin City University

the mask is produced on the wafer plane by reduction-

projection optic that demagnifies the image at 4:1 [21]. The

benefit of this technique over optical technologies is that this

system is aberration limited, not diffraction limited.

Figure 9(a). SCALPEL principle

(Image courtesy of

www.images.slideplayer.com/14/4312318/slides/slide

_25.jpg)

The design of this SCALPEL tool was based on a step-and-

scan architecture. The mask pattern is exposed on the wafer as

a stationary electron beam is passed through a moving mask at

a constant velocity. A step-and scan system can be seen in

figure 9(b).

Figure 9 (b) Schematic diagram of the SCALPEL step-and-

scan system (Image courtesy of [21])

Using this step-and-scan architecture, the images are

assembled (or stitched) from many small pieces on the mask,

through a combination of electron deflections in the beam and

the motion of the mask and wafer stages [1]. This technique

was successful in producing 80nm isolated gate structure in a

positive tone DUV resist [21]. The masks produced were

relatively cheaper than its optical lithography counterparts,

$27,000 compared to an EUV mask priced at £59,000[1]. Bell

labs allied themselves with ASML to research this technique

but was discontinued in the late 2000 and never

commercialized.

IX. SUB 10NM NANOSTRUCTURES

It was mentioned in section VII that a positive PMMA when

overexposed can be operated as a negative tone resist. An

experiment was conducted and published in the Journal of

Vacuum Science & Technology [24] where PMMA after

overexposure operated as a negative tone resist to produce

sub-10nm half-pitch dense nanostructures at energies as low

as 2keV. Hydrogen silsesquioxane (HSQ) and calixarene are

two negative resists regularly used to produce these high

resolution nanostructures. This experiment was to prove that

PMMA could be used instead in applications where HSQ was

incompatible to use and to better understand the resolution

limits of EBL. A disadvantage of using HSQ is that

hydrofluoric acid is used in the development process. HF has

the disadvantage that it can attack some metals.

PMMA has been used previously in fabrication techniques to

develop sub-10nm structures using isopropanol (IPA): water

developer. Overexposed PMMA can be transformed into

graphitic or carbon nanostructures by ion or electron beam

radiation [24], as also having applications as mechanical

building blocks, masks and dielectric layers or gaps [24].

In this experiment, PMMA with a molecular weight of 950 K

was used. This was exposed at different energies, from 30keV

to 2keV at a working distance of ~6mm. The dose range was

taken to be from 3fC to µ3C from a sparse dot array of 15 µm

pitch. This was exposed onto a PMMA layer of 40 nm

thickness on a silicon substrate using methy isobutyl ketone

(MIBK): IPA as a developer. Figure 10(a) shows the

transformation of PMMA from a positive tone resist to a

negative under different dosages and the resulting sub 10 nm

feature created can be seen with an exposure dose of 90

fC/dot.

Figure 10 (a). Range of exposure dosage given to PMMA and

the resulting transformation of PMMA into a negative resist.

(Image courtesy of Sub-10nm half-pitch lithography using

poly(methyl methacrylate) as a negative resist [24])

The point spread function was calculated through the

measurement of the diameters at different doses of both

positive and negative structures through increasing the dosage

and can be seen in figure 10(b).

Page 9: Electron Beam Lithography review paper - EE541 Dublin City University

It can be clearly seen that the PSF for negative and positive

tone PMMA are very similar but it was found from this

experiment that although the PSF is very similar, it was found

that the main difference of these two resists was their

sensitivity as the onset dose was about 30 times higher of a

negative tone PMMA compared to the PMMA positive tone.

Since the PSF for both is very similar, the interaction of

electrons have similar roles for the cross linking or cross

scission of these polymer based resists [24].

Figure 10(c) demonstrates the formation of 10nm half-pitch

and 12nm half-pitch hexagonally closed packed dots

fabricated using PMMA as a negative resist using MIBK as

the developer.

Figure 10(c) Hexagonally closed packed dots (a) 10nm half-

pitch (b) 12nm half-pitch

(Image courtesy of Sub-10nm half-pitch lithography using

poly(methyl methacrylate) as a negative resist [24])

This experiment was successful in creating sub 10nm half –

pitch features using this technique and although the results are

not published, it is stated that sub 6nm half pitch still showed

good response[24]. Not only was the experiment a success in

proving PMMA as negative resist can be used for high

resolution patterning instead of its negative counter parts such

as HSQ, but can be used also for dense structure patterning

using appropriate developer as seen in figure 10(c).

In order to improve the resolution of an EBL system, an

experiment was conducted using a scanning transmission

electron microscope (STEM) to investigate higher resolution in

an EBL system. This system uses an aberration-corrected

STEM to reduce the scattering effects of high energy electrons

while also having the advantage of a reduced spot size [25].

The STEM uses high energy electrons at 200keV to reduce

forward scattering and allows for a spot size of 0.15nm. The

resist used is the HSQ negative tone resist as previously

discussed with a 10nm thick SiNx substrate. Transmission

electron microscopy (TEM) metrology method was used to

assess the resolution limits [25]. Figure 11 illustrates the three

stages of this STEM system.

Figure 11 (a). Schematic diagram of the STEM system

(Image courtesy of Resolution Limits of Electron-Beam

Lithography toward the Atomic Scale [25])

Using this STEM exposure method and development

process, it can be seen in figure 11(b) that 5nm half pitch

dot arrays and patterning as low as 2nm is possible using

HSQ as its resist. It can be seen that using higher electron

energy exposures result in higher resolution over using

30keV as the exposure level.

Figure 11(b) (i)5nm half pitch dot array at dose level of

18fC/dot

(ii) 2nm feature is the minimum feature achieved by this

technique at a linear dose of 8 nC/cm

(Image courtesy of Resolution Limits of Electron-Beam

Lithography toward the Atomic Scale [25])

Page 10: Electron Beam Lithography review paper - EE541 Dublin City University

X. CONCLUSION

Many approaches have been researched into the resolution

limits of electron beam lithography. Resolution limits that

govern high resolution patterning include spot size, electron

scattering, resist development as well as the mechanical

stability of the resist. The main disadvantage of electron beam

lithography comes down to its slow throughput of wafers

compared to such rivals as Immersion lithography. Research

and development is currently being explored by companies to

combat this disadvantage and promising results can be seen

with the REBL nanowriter as discussed above. REBL has the

potential to be the first High Volume electron beam lithography

patterning resolutions to 16nm and beyond.

There have been failed attempts such as SCALPEL that have

not been commercialized but promising results indicate REBL

may not be one of them.

Advancements have also been realized using an aberration-

corrected STEM to produce to date one of the smallest patterned

features using electron beam technology.

XI. ACKNOWLEDGEMENTS

The author would like to thank Mr. Paul Ahern of the School of

Electronic Engineering in recommending this technology as an

avenue to research for this review paper, as well as taking the

time to explain many of the principles used in this technology I

would like to acknowledge Dublin City University for their

excellent library and facilities as well as the IEEE Digital

library to obtain relevant and cited papers of old and new

technologies where EBL is adapted into.

REFERENCES

[1] Marc J. Madou “Fundamentals of microfabrication: The science of

miniaturization, Second Edition” pg 44

[2] Matteo Altissimo “E-beam lithography for micro-/nano fabrication”

2010 DOI: 10.1063/1.3437589 [3] David J Grant “Electron-Beam Lithography from past to present” 2003

[4] George Brewer “ Electron Beam Technology in Microelectronic

fabrication” 2012 [5] Mohammad Ali Mohammad, Mustafa Muhammad, Steven K. Dew, and

Maria Stepanova “Fundamentals of Electron beam exposure and

development” DOI 10.1007/978-3-7091-0424-8_2 [6] Araldo Van de Kraats “Proximity effect in E-beam lithography” 2005

[7] SPIE Handbook of microlithography, micromachining and

Microfabrication, Vol.1 Section 2.4 Proximity effect [8] Charles A. Mack “Electron-beam lithography simulation for

maskmaking, part V: Impact of GHOST proximity effect correction on

process window” 1999 [9] SPIE Handbook of microlithography, micromachining and

Microfabrication, Vol.1 Section 2.2 Elements of Electron Optics

[10] Cen Shawn Wu1, Yoshiyuki Makiuchi2 and ChiiDong Chen3 “High-energy Electron Beam Lithography for Nanoscale Fabrication” 2010

[11] Marc A. McCord “Electron beam lithography for 0.13µm manufacturing”

Journal of Vacuum Science and Technology B 15, 2125 1997; DOI 10.1116/1.589232

[12] Bostjan Bercic “Introduction to Electron Beam Lithography”

[13] Wang, Z.L, Liu, Yi, Zhang, Ze “Handbook of nanophase and nanostructured materials” 2002 pg 101

[14] Nicholas P. Cheremisino “Handbook of Polymer Science and Technology

Volume 4” 2007 [15] A.N Broers, A.C.F Hoole “Electron Beam Lithography-Resolution

limits” 1996 ISSN:0018-8646 DOI : 10.1147/rd.324.0502

[16] Gary S.May “Fundamentals of Semiconductor Manufacturing and Process Control” May 2006 DOI: 10.1002/0471790281 Ch.2.1.2.5

[17] SPIE Newsroom “Multiple-electron beam direct-write comes of age” 14

Jan 2013, DOI 10.1117/2.1201212.004609 [18] Regina Free, Thomas Gubiotti, Jeff Sun “Reflective electron-beam

lithography performance for the 10nm logic node” Photomask

Technology 2012, edited by Frank E. Abboud, Thomas B. Faure, Proc. of SPIE Vol. 8522, 85221J · © 2012 SPIE · CCC code: 0277-786/12/$18 ·

DOI: 10.1117/12.964978

[19] Alan Brodie, Allen Carroll, Luca Grella, Marek Zywno “ REBL nanowriter: Reflective Electron Beam Lithorgraphy” SPIE DOI:

10.1117/12.817319

[20] J.Greer, A Korkin, J.Labanowski “Nano and Giga Challenges in Microelectronics” 2003 ISBN: 978-0-444-51494-3 pg 112

[21] L.R Harriott, Bell Laboratories “SCALPEL :Projection electron beam

lithography” Proceedings of the 1999 Particle Accelerator Conference, New York.

[22] Stefano Cabrini, Satoshi Kawata “Nanofabrication handbook” 2012

ISBN 9781420090529 pg 15 [23] Zheung Zui, “Nanofabriaction: Principles, capabilities and Limits” 2009

ISBN 0387755772 pg 92.

[24] Huigao Duan, Donald Winston, Joel K. W. Yang, Bryan M. Cord, Vitor R. Manfrinato and Karl K. Berggren “Sub-10nm half-pitch lithography

using poly(methyl methacrylate) as a negative resist”. 2010 American Vacuum Society. DOI: 10.1116/1.3501353

[25] Vitor R. Manfrinato†, Lihua Zhang‡, Dong Su‡, Huigao Duan§, Richard

G. Hobbs†, Eric A. Stach‡, and Karl K. Berggren “Resolution Limits of Electron-Beam Lithography toward the Atomic Scale” Nano

Lett., 2013, 13 (4), pp 1555–1558 DOI: 10.1021/nl304715p