fpga creating counter with internal clock

Download Fpga   creating counter with internal clock

If you can't read please download the document

Upload: politeknik-elektronika-negeri-surabaya

Post on 16-Apr-2017

1.516 views

Category:

Documents


0 download

TRANSCRIPT

FPGACounter Seven Segment

Created byAkhmad [email protected]

You are free:to Share to copy, distribute and transmit the workUnder the following conditions:Attribution You must attribute the work in the manner specified by the author or licensor (but not in any way that suggests that they endorse you or your use of the work).Noncommercial You may not use this work for commercial purposes.No Derivative Works You may not alter, transform, or build upon this work.

Background

I try implement counter seven segmen in FPGA

Problem and solution

Problem:First I don't know how to combine counter and decoder in HDL top level. Usualy for my previous project , I do combining design with TOP level schematic.

Solution:I learn about portmap syntax for wiring component in HDL top level

My Design

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity counter is Port ( clk_i : in STD_LOGIC; systick : out STD_LOGIC; counter_o : out STD_LOGIC_VECTOR (3 downto 0));end counter;

architecture Behavioral of counter issignal psc: std_logic_vector(23 downto 0) :=(others=>'0');signal clk_r: std_logic_vector(3 downto 0) :=(others=>'0');signal tick: std_logic :='0';beginprocess (clk_i)begin if rising_edge(clk_i) then if psc