high-na euv lithography · pdf fileoutline why high-na? anamorphic optics imaging system...

36
Jan van Schoot 1 , Kars Troost 1 , Alberto Pirati 1 , Rob van Ballegoij 1 , Peter Krabbendam 1 , Judon Stoeldraijer 1 , Erik Loopstra 1 , Jos Benschop 1 , Jo Finders 1 , Hans Meiling 1 , Eelco van Setten 1 Bernhard Kneer 2 , Bernd Thuering 2 , Winfried Kaiser 2 , Tilmann Heil 2 , Sascha Migura 2 , Jens Timo Neumann 2 15 June 2017, EUVL Workshop, Berkeley High-NA EUV lithography enabling Moore’s law in the next decade Public 1 ASML Veldhoven, The Netherlands 2 Carl Zeiss Oberkochen, Germany

Upload: habao

Post on 06-Feb-2018

222 views

Category:

Documents


5 download

TRANSCRIPT

Page 1: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Jan van Schoot1, Kars Troost1, Alberto Pirati1, Rob van Ballegoij1, Peter Krabbendam1, Judon Stoeldraijer1, Erik Loopstra1, Jos Benschop1, Jo Finders1, Hans Meiling1, Eelco van Setten1

Bernhard Kneer2, Bernd Thuering2, Winfried Kaiser2, Tilmann Heil2, Sascha Migura2, Jens Timo Neumann2

15 June 2017, EUVL Workshop, Berkeley

High-NA EUV lithography enabling Moore’s law in the next decade

Public

1 ASML Veldhoven, The Netherlands 2 Carl Zeiss Oberkochen, Germany

Page 2: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Outline

Why high-NA?

Anamorphic Optics

Imaging

System Architecture

Conclusions

15 June 2017

Slide 2

Public

Page 3: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Overlay [nm] 55 WPH 125 WPH 185 WPH 145 WPH

NXE:3300B

NXE:3350B

NXE:next

High NA

Slide 3

Public

EUV extension roadmap

NXE:3400B 2017

2015

2013

introduction

products under study

7

3.5

3

<3

<2

15 June 2017

Page 4: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Jan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan

Slide presented this conference by Britt Turkot, INTEL

Courtesy Britt Turkot, INTEL, 2016-10-25

15 June 2017

Slide 4

Public

Page 5: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Larger NA reduces Local CDU Due to larger aerial image contrast

Non-CAR resist, Quasar Illumination

0.33NA

0.55NA

18nm CH

LCDU=15% ADI

20mJ/cm2

15 June 2017

Slide 5

Public

Page 6: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Edge Placement Error determining factor in litho

performance

EPE Intended overlap

Pitch (P)

Blocks

Lines

𝑬𝑷𝑬𝒎𝒂𝒙 = ′𝒔𝒚𝒔𝒕𝒆𝒎𝒂𝒕𝒊𝒄𝒔′ + ′𝒍𝒐𝒄𝒂𝒍′ + ′𝒈𝒍𝒐𝒃𝒂𝒍′

𝐸𝑃𝐸𝑚𝑎𝑥 =𝐻𝑅𝑂𝑃𝐶

2+

3𝜎𝑃𝐵𝐴

2+

6𝜎𝐿𝑊𝑅

2+ 3𝜎𝑂𝑉𝐿

2 +3𝜎𝐶𝐷𝑈

2

2

and 𝜎𝐶𝐷𝑈 = 𝜎𝐶𝐷𝑈_𝑙𝑖𝑛𝑒𝑠2 + 𝜎𝐶𝐷𝑈_𝑐𝑢𝑡𝑠

2

With 𝜎𝐿𝑊𝑅 = 𝜎𝐿𝑊𝑅_𝑙𝑖𝑛𝑒2 + 𝜎𝐿𝐶𝐷𝑈_𝑐𝑢𝑡𝑠

2

Bring system at EPE specifications by

adapting the dose Throughput

15 June 2017

Slide 6

Public

Page 7: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Jan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan

15 June 2017

Slide 7

Public

Larger NA results in higher effective throughput NA limits dose and # of LE steps

* Effective throughput = throughput / # LE steps

Quasar Illumination

1

1

1

1 1

1

1

1

1

* 1

1 1

1 1 1

1 1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1 1

2

2

2 2

2

2 2

3

3

3

3

3

3

3

3

2

2

2

2

2

1

1

1

1

1

1

Page 8: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Anamorphic Optics

15 June 2017

Slide 8

Public

Page 9: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Jan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan

EUV: it’s all about the angle High-NA comes with large angles

ML reflection MoSi Multilayer NA=0.55

15 June 2017

Slide 9

Public

Page 10: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Light cones at the mask for a 0.33NA Scanner Enabling a solution with 26 mm slit on 6” masks

132 mm

x

y

Reticle layout compatible with

today 6” mask production

10

4 m

m

Reticle

6” mask

Projection with 0.33 NA

Mag X: 4x

Mag Y: 4x

Source: Jan van Schoot, ASML, “EUV roadmap extension by higher Numerical Aperture”, 2016 international symposium on EUV, 24 October 2016, Hiroshima

26 mm

33 mm

Wafer

x

y

15 June 2017

Slide 10

Public

Page 11: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Anamorphic High-NA EUV reduces the angles

Y 0.33NA – Mag 4x

X

Y - 4x

0.55NA – Mag 4x

X

Y - 8x

0.55NA – Mag 4x/8x

Multilayer Reflectivity

0%

10%

20%

30%

40%

50%

60%

70%

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18

Angle of incidence on the mask [deg]

Re

fle

cti

vit

y [

%]

Enabling a solution with 26 mm slit on 6” masks

26 mm

16.5 mm

Wafer

15 June 2017

Slide 11

Public

Page 12: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

High-NA anamorphic Half Field concept Faster stages required to obtain high productivity half-field scanner

Acceleration of wafer stage ~2x

Acceleration of mask stage ~4x

Half Field yields 2x more fields:

2x wafer stage acceleration maintains

overhead while going

to twice number of scans

Y-magnification 4x 8x:

2x wafer acceleration results

in 4x mask acceleration Projection: > 0.5 NA Projection: 0.33 NA

15 June 2017

Slide 12

Public

Page 13: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

High-NA Field and Mask Size productivity Throughput of >185wph with anamorphic HF

High-NA Half Field scanner

needs 500W for

150wph at 60mJ/cm2

Thro

ugh

pu

t [3

00

mm

/hr]

Source Power/Dose [W/(mJ/cm2]

Throughput for various source powers and doses

0

20

40

60

80

100

120

140

160

180

200

0 5 10 15 20 25 30 35

500 Watt

60mJ/cm2

500W Watt

30mJ/cm2

NXE:3300

WS, RS current performance

WS 2x, RS 4x

HF

High-NA anamorphic

FF

15 June 2017

Slide 13

Public

Page 14: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Source power: 250W demonstrated,10x improvement in five years

250W with dose in specifications

obtained on development source

15 June 2017

Slide 14

Public

Page 15: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Source: Zeiss, “EUV lithography optics for sub-9nm resolution,” Proc. SPIE 9422, (2015).

High-NA optics design available Larger elements with tighter specifications

NA 0.25 NA 0.33 NA >0.5 Design examples

Extreme aspheres enabling

further improved wavefront /

imaging performance

Big last mirror driven by

High-NA

Tight surface specifications enabling

low straylight / high contrast imaging

Obscuration enables

higher optics transmission

Potential of up to 2x vs 3300

Reticle level

Wafer level

15 June 2017

Slide 15

Public

Page 16: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

High-NA flexible illuminator Principle NXE:3300/3400 illuminator can be reused

Intermediate

Focus

Field Facet Mirror

Pupil Facet Mirror

Illuminator elliptical pupil is projected in a

circular pupil at the wafer

See Joerg Zimmermann et al. “Flexible illumination for ultra-fine resolution with 0.33 NA EUV lithography”, EUVL Symposium 2016

15 June 2017

Slide 16

Public

Page 17: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Imaging verification of the new Half Field concept

Aerial Image Intensity in Hyperlith

Logic N5 clip Metal-1, 11nm lines, SMO is done at 8x

FF QF HF

Note: pictures at same scale,

smaller mask reflection is

also visible

15 June 2017

Slide 17

Public

Page 18: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Imaging evaluation of key lithographic structures: comparable

performance as 0.33 NA at ~40% lower resolution

Simulations based on high-NA lens Jones pupil; mask 3D effects included

Comparable Exposure Latitude at 40%

lower resolution

DOF at equivalent k1 factor

Follows NA scaling 𝟎.𝟑𝟑

𝟎.𝟓𝟓

𝟐

15 June 2017

Slide 18

Public

Page 19: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Good overlapping process window for customer

relevant structures

8nm spaces through pitch 12nm staggered CHs

Logic cutmask Multi-pitch L/S pattern

8nm CD, pitch 16nm 10nm CD, pitch 20nm 12nm CD, pitch 24nm

DoF @ 10% EL (H,V) = 68 / 56nm DoF @ 10% EL = 73nm

Simulations based on high-NA lens Jones pupil; mask 3D effects and curved exposure slit included

15 June 2017

Slide 19

Public

Page 20: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

High-NA system has smaller M3D effects than 0.33NA Smaller mask angles of incidence due to anamorphic system

*L. de Winter, Understanding the Litho-impact of Phase due to 3D Mask-Effects when using off-axis illumination, EMLC 2015

15 June 2017

Slide 20

Public

Page 21: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

System Architecture

15 June 2017

Slide 21

Public

Page 22: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

High-NA system architecture available

TWINSCAN NXE 3400

New Frames

Improved thermal and dynamic

control with larger optics

Lens & illuminator

• NA 0.55 for sub-10nm resolution

• High transmission

Source

Compatible with 0.33 NA sources, power

improvements opportunities over time

Wafer Stage

2x increase in acceleration

Improved metrology

2~3x improvement in overlay/focus

Mask Stage

4x increase in acceleration

15 June 2017

Slide 22

Public

Page 23: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

0

5

10

80

110

140

170

200

0 1 2 3 4

Rela

tiv

e R

MS

RS

Po

wer

Th

rou

gh

Pu

t [w

ph

]

Relative RS acceleration in Y-direction

0

5

10

80

110

140

170

200

0 1 2 3 4

Rela

tiv

e R

MS

RS

Po

wer

Th

rou

gh

Pu

t [w

ph

]

Relative RS acceleration in Y-direction

0

5

10

80

110

140

170

200

0 1 2 3 4

Rela

tiv

e R

MS

RS

Po

wer

Th

rou

gh

Pu

t [w

ph

]

Relative RS acceleration in Y-direction

High-NA Mask Stage solution for increased acceleration Improved motor technology & different -light weight- architecture

185

125

ref

870%

390%

∞!

Further Optimizing power

consumption:

• New stage architecture with

lower mass

Limiting increasing power by:

• Improved motor technology (k, R)

• Reduce mass

Current RS in High-NA

Power: ref

Current RS

No solution

Improved RS motor

Power: 9 x ref

x

x

x x x x

4x

Power ~ 𝐼2 ∙ 𝑅

= 𝑘 ∙ 𝑎𝑐𝑐 ∙ 𝑚𝑎𝑠𝑠 2 ∙ 𝑅𝑚𝑜𝑡𝑜𝑟

Courtesy Chris Hoogendam, ASML

15 June 2017

Slide 23

Public

Page 24: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Jan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan

Reticle stage acceleration 4 x 3400 acceleration

High-NA reticle stage 3400 reticle stage

15 June 2017

Slide 24

Public

Page 25: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Mask stage short-stroke motor: demonstrated improved

accuracy at high acceleration

Measured Required

Actuators force (N) ref 1.2x

Positioning accuracy

(MA-Y, nm)

0.37 <1.1

15 June 2017

Slide 25

Public

Page 26: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Cooling Hood as Wafer Heating Solution Extract heat from wafer using a cold body & gas pressure outside exposed area

Top Cooler working principle:

• Heat from wafer to cold body via gas

• Match Pcool with exposure load,

• Switch cooling on/off between scans

→ gas pressure switching

NXE:3500 without Top Cooler NXE:3500 with Top Cooler (“Cooling Hood”)

EUV DGL

Gas Include

Wafer Top

Cooling

EUV DGL

Wafer

Clamp

Gas Top Cooler T = low

Pcool Pcool

𝑃𝑐𝑜𝑜𝑙 = 𝐻𝑇𝐶 𝑝, 𝑧 ∙ 𝐴 ∙ 𝑑𝑇

Modelled raw distortions

Wafer

Clamp

Pcool = Cooling power [W]

A = Top cooler area [m2]

dT = Twafer – Thood [K]

HTC = heat transfer coefficient

[W/(m2∙K)]

15 June 2017

Slide 26

Public

Modelled raw distortions

Page 27: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Wafer Heating NXE:3500 without cooler

15 June 2017

Slide 27 Public

Page 28: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Wafer Heating NXE:3500 with cooler

15 June 2017

Slide 28 Public

Page 29: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Jan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan

Mechanical layout Modularity key for manufacturing, shipment and service

Jan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan

15 June 2017

Slide 29

Public

Page 30: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Jan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan

High-NA surface metrology

vacuum chambers

Accuracy of mirror surface metrology is key for imaging quality

High-NA wavefront needs improvement of factor ~2x compared to 3300 2x better

measurement accuracy required

For larger mirrors: Diameter of mirrors about doubled

Courtesy Carl Zeiss SMT GmbH, 2016-09-20

15 June 2017

Slide 30

Public

Page 31: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Jan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan

Non-design but leadtime critical components ordered

Chamber flanges

Courtesy Carl Zeiss SMT GmbH, 2016-09-20

15 June 2017

Slide 31

Public

Page 32: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Jan van Schoot et al, EUV roadmap extension by higher Numerical Aperture, EUVL conference 2016, Hiroshima, Japan

Non-design but leadtime critical components ordered

Chamber doors

Courtesy Carl Zeiss SMT GmbH, 2016-09-20

15 June 2017

Slide 32

Public

Page 33: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

New grinding technology and machinery

Courtesy Carl Zeiss SMT GmbH, 2016-09-20

15 June 2017

Slide 33

Public

Page 34: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Facility construction Zeiss in Oberkochen started

Courtesy Carl Zeiss SMT GmbH, 2016-09-20

15 June 2017

Slide 34

Public

Page 35: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

Summary

• High-NA extends Moore’s Law into the next decade

• Larger contrast of High-NA helps mitigating LCDU

• New anamorphic concept enables good imaging with existing mask

infrastructure resulting in a Half Field image

• New stages technologies and high transmission enable throughput

~185WpH

• We are closing the feasibility, optics in design phase, first HW in place

15 June 2017

Slide 35

Public

Page 36: High-NA EUV lithography · PDF fileOutline Why high-NA? Anamorphic Optics Imaging System Architecture Conclusions 15 June 2017 Slide 2 Public

The authors would like to thank the High-NA teams in - Oberkochen - Wilton - Veldhoven

Public

Thank you

for your attention

Parts of these developments were funded by ECSEL JU projects SeNaTe, TAKE5 and TAKE-MI5

We thank the Federal Ministry of Education and Research (Germany) for funding of the BMBF project 16N12256K

„ETIK“ and the projects 16ES0255K „E450LMDAP“, 16ESE0036K “SeNaTe”, 16ESE0072K “TAKE5” within the

framework of the ENIAC and ECSEL programs, respectively.