high-power laser diodes efficiency drive set to boost laser...

40
Efficiency drive set to boost laser reliability SiC chips to power electric vehicles Photonics roadmap urges collaboration Emcore spins off GaN diode unit ALSO INSIDE June 2005 Volume 11 Number 5 HIGH-POWER LASER DIODES

Upload: others

Post on 03-Jun-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

Efficiency drive set to boost laser reliability

SiC chips to powerelectric vehicles

Photonics roadmapurges collaboration

Emcore spins off GaN diode unit

ALSO INSIDE

June 2005 Volume 11 Number 5

HIGH-POWER LASER DIODES

Page 3: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

JUNE 2005 VOLUME 11 NUMBER 5

Anadigics is hoping to gain market share onits rivals through its on-die integration. p6

A US project is aiming to develop hybridelectric engines based on SiC devices. p18

LED manufacturers are being offered larger-diameter sapphire substrates. p27

5 Headline News Photonics roadmap urges collaboration...Anadigics aims to take market share with on-die transistor integration...Sony confirms GaN lasers will feature in Playstation 3 console

8 GaAs & Wireless News WiMAX spending will rocket, says TIA...Nokia raises its 2005 cell-phone forecast...SiGe reference design shapes up for WiMAX...Skyworks is confident of a strong finish to 2005

10 LED News Osram files a white-LED lawsuit againstCitizen...LED spin-off propels Kopin into profit...Creelicenses patents to a trio of firms in Far East...Nichia:‘further IP actions are needed’

12 Wide Bandgap News SiC electronics market to quadruple by 2009...Emcore spin-off raises $6 million...RFMD road-tests its 100 W GaN amplifiers

15 Fiber News Extended Nortel deal delays closure ofBookham’s UK product-assembly plant...StreamlinedJDSU sees demand build momentum...CyOptics raises $24 million funding and secures supercomputer contract

17 Opto News Toshiba reveals a triple-layer high-capacity disc...Intense lands extra £2.5 million funding...US firm helps establish a novel DVD format

32 Materials & Equipment News Chinese institute makes first near-UV laser diode...Dowa to develop GaN-on sapphire substrates

COMPOUND SEMICONDUCTOR JUNE 2005 1

On the cover: Manufacturers are implementing new processes that will increase the output power and reliability of laser diodes for applications such asautomobile body part welding (image courtesy of the University of Stuttgart). See p22.

NEWS

22 Efficiency drive delivers greater laser reliabilityHigh-power diode-laser manufacturers are implementingnew processes developed under various research programsin volume device production, as Michael Hatcher discovers.

COVER STORY: HIGH-POWER DIODE LASERS

18 Silicon carbide set to reduce size of hybrid electric enginesSales of hybrid electric vehicles are climbing but, unlessthe prices of such cars fall, stagnation could follow. Thesolution, says Will Draper, is to use silicon carbide chips toreduce the size of the power electronics and increaseoperating temperature.

27 Manufacturers are wary over push for larger substratesOne way to cut chip costs is to transfer manufacturing tolarger substates. Is LED manufacturing in Taiwan readyfor such a change? Richard Stevenson investigates.

29 Water-guided laser speeds up rate of SiC-wafer dicingDicing wafers based on silicon carbide gives devicemanufacturers a big headache. Now, a novel technique –using a water jet to cool the material – may offer a solution.

FEATURES

Compound Semiconductor’s circulationfigures are audited by BPA International

32 Product Showcase

35 Supplier’s Guide

ADVERTISING SECTION 33 Portfolio Equipment vendors tough it out

36 Research review: IPRM 2005 Injectorlessquantum-cascade lasers make grade at room temperature

DEPARTMENTS

AN

AD

IGIC

S

TO

YO

TA

HO

NE

YW

ELL

Page 4: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

Editor Michael [email protected]: +44 117 930 1013. Fax: +44 117 925 1942

Features editor Richard [email protected]: +44 117 930 1192

Consulting editor Tim [email protected]: +44 117 930 1233

Commercial manager Rebecca [email protected]: +44 117 930 1032. Fax: +44 117 930 1178

Business development manager Dan [email protected]: +44 117 930 1030. Fax: +44 117 930 1178

Circulation manager Jackie [email protected]: +44 117 930 1218. Fax +44 117 930 1178

Publisher Sarah [email protected]: +44 117 930 1020

Production Kate Boothby, Teresa RyanAd production Jackie Cooke, Tanwen HafArt directorAndrew GiaquintoTechnical illustratorAlison ToveyPublishing director Richard Roe

SubscriptionsAvailable free of charge to qualifying individualsworking at compound semiconductor fabs andfoundries. For further information visitcompoundsemiconductor.net/subscribe.Subscriptions for individuals not meeting qualifyingcriteria: individual £82/$148 US/7119; library£184/$331 US/7267. Orders to CompoundSemiconductor, WDIS, Units 12 & 13, CranleighGardens Industrial Estate, Southall, MiddlesexUB1 2DB, UK. Tel: +44 208 606 7518; Fax: +44 208 606 7303. General enquiries:[email protected].

7979 total qualified circulation*

*June 2004 BPA audit statement

Editorial boardMayank Bulsara (USA); Andrew CarterBookham Technology (UK); Jacob TarnEpistar/Gigacomm (Taiwan); Ian FergusonGeorgia Institute of Technology (USA); Toby StriteJDS Uniphase (USA); Mark Wilson Motorola(USA); Dwight Streit Northrop Grumman (USA);Joseph Smart RF Micro Devices (USA);Colombo Bolognesi Simon Fraser University(Canada); Shuji Nakamura University ofCalifornia at Santa Barbara (USA)

©2005 IOP Publishing Ltd. All rights reserved.

US mailing information: CompoundSemiconductor (ISSN 1096-598X) is published 11 times a year for $148 by Institute of PhysicsPublishing, Dirac House, Temple Back, Bristol BS1 6BE, UK. Periodicals postage paid atMiddlesex, NJ 08846. POSTMASTER: sendaddress corrections to Compound Semiconductor,c/o PO Box 177, Middlesex, NJ 08846. US agent:Pronto Mailers Association Inc, 200 Wood Avenue,PO Box 177, Middlesex, NJ 08846.

2

Editorial

ACCGE 16 35

Air Products & Chemicals 30

AIXTRON AG 3

AXT IBC

Bandwidth Semiconductor 28

Bruker AXS 26

Crosslight Software 13

Epigress 17

EpiNova GmbH – III/V-Epitaxial

Solutions 20

Honeywell Electronic Materials 14

INTRINSIC Semiconductor IFC

J P Sercel Associates 11

k-Space Associates 13

Lake Shore Cryotronics 32

LayTec GmbH 32

Materials and Gases Suppliers Guide 35

NuSil 7

Raboutet 10

Riber 34

Rockwell Scientific 20

Samco International 12

Tecdia 4

Thomas Swan Scientific Equipment 31

Unaxis 24

Veeco OBC

Advertisers’ Index

When the Massachusetts Institute of Technology (MIT) kickedoff its communications technology roadmap (CTR) programin 2000, it couldn’t have foreseen the upheaval that was aboutto unfold in the optical communications industry. Five years on,and it comes as no surprise to learn that the sector is in direneed of restructuring.

The recommendations from the various CTR working groups emerged lastmonth at the Microphotonics Industry Consortium’s spring meeting, many ofwhich call for a radical rethink of the fiber-optic component industry.

In the keynote talk, MIT economist Jerry Hausman gave delegates areality check, saying that anybody pinning their hopes on the industry beingrescued by the roll-out of fiber access to residential customers would beplacing “a multibillion dollar bet with significant uncertainty”. Accordingto Hausman, that’s a bet that’s not worth risking, since the demand forphotonic components from telecom sector alone will not be enough toreturn the industry to health.

Despite improving sales, many fiber-optic component vendors remainwildly unprofitable. One problem is that the normal rule of economies ofscale, exploited so effectively in the silicon industry, simply doesn’t apply tophotonics. Why not? Too many technical specifications, and the fact thatthe cost of components is dictated largely by their testing and packagingrequirements, rather than by the pure manufacturing cost.

The solution? More standards, the use of global testing platforms, yetmore consolidation, and shifting manufacturing to China will all help. Butthese will not solve the underlying problem. Crucially, the industry mustdownsize, find non-telecom applications to boost demand, and collaborate.

Greater collaboration will improve the alignment of the whole supplychain. This should lead to better manufacturing tools, better relationshipsbetween companies and a healthier industry altogether. MIT’s photonicsroadmap could be the first step towards this. The III-V industry should takenote and get behind it.

Michael Hatcher

COMPOUND SEMICONDUCTOR JUNE 2005

Get behind MIT’s roadmap

Page 7: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

HEADLINE NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 2005 5

A wide-ranging effort coordinated by theMassachusetts Institute of Technology (MIT)to produce a roadmap for the photonics indus-try has highlighted a number of major issuesthat the III-V community must address.

Lionel Kimerling, the director of MIT’sMicrophotonics Center and one of the drivingforces behind the communications technol-ogy roadmap (CTR) program, told CompoundSemiconductor that the telecommunicationsindustry alone would not be able to supportthe investment that is required to develop thetechnologies that will be needed for futurephotonics applications.

Kimerling said that, although the photoniccomponents market is recovering, losses arerising along with increasing revenue, mean-ing that the current manufacturing model isunsustainable. With the three major InP pho-tonics suppliers each still able to handle fourtimes the current global demand for devices,more consolidation is inevitable, he added.

But even consolidating the manufacturingbase will be insufficient on its own. In whatKimerling described as “a real eye-opener”for the industry, an analysis by MIT econom-ics professor Jerry Hausman showed thatpackaging and testing amounted to 80–90%of the total cost of photonics components.

So even if chips can be made much morecheaply, the overall effect on cost may notbe that great. Instead, developments such asthe establishment of a global test procedurefor individual components could be more ben-eficial to the industry.

Another significant problem identified isthat there is currently no market driver of suf-ficient volume for the InP manufacturingindustry to be profitable. The CTR’s III-Vworking group concluded: “For telecommu-nications to fully realize the cost benefits ofan integrated InP technology, this traditionalmarket may have to ‘piggyback’on emerginghigh-volume markets.”

And although the report concludes that theoptical components industry stands at thethreshold of a major expansion, it also identi-fies a need to restructure business proceduresthat will allow it to operate profitably over thenext three decades.

Part of that restructuring will demand fargreater cross-industry collaboration withinthe III-V photonics community. Speaking atthe consortium’s spring meeting held at MIT,where the roadmap conclusions were

unveiled, III-V working group co-chairmenRick Clayton and Tom Dudley drew up a“plan of attack” that identified a number ofmeasures that could be implemented toaddress key challenges.

Achieving economies of scale in InP is anissue at the forefront of many manufacturers’plans, and Clayton said that companies shouldconsider pooling their resources. This wouldhelp to consolidate manufacturing volume,allow collaborative efforts on critical processtechnologies and result in more efficientspending on process development.

But fostering that collaborative spirit willinevitably be a major challenge. While Kimer-ling says that he has detected the beginning ofa consensus across the industry to collaboratemore freely, he admits that this is only hap-

pening slowly, with firms still wary about anyloss of intellectual property that might result.

For compound semiconductor chip manu-facturers, the implications of the proposedchanges could be enormous, with Kimerlingbelieving that there will be a major shift in theIII-V photonics manufacturing base over thenext 10 years. One of two options must be fol-lowed, concluded the report.

The first is to move to an outsourced manu-facturing model, since the amount of revenueavailable to individual firms will not allow forprofitable support of an internal fabricationfacility. The second option is for further large-scale consolidation among current suppliers.

In one of many recommendations, it wasconcluded that an industry effort to developstandard manufacturing processes and designrules for III-V technology is now required.The III-V working group also reported thatthe integration of photonics and electronicson InP is limited currently by the lack of fabsthat are capable of producing large-scale opto-electronic chips in the material.

While much of the existing InP capacity,for example, is focused on 2 and 3 inch pro-cessing, these larger chips will need to bemade on 4 inch wafers, said the III-V work-ing group. Since the move to 4 inch manu-facturing is currently an unviable option forcash-strapped photonics companies, one solu-tion could be to design products that can bemade using standard processes originallydeveloped for InPmicroelectronics, it added.

The Irish company Eblana Photonics isalready working with US-based InP foundryVitesse on just such a model, using the latter’sHBT electronics process to fabricate lasers(see Compound Semiconductor March p23).

The III-V working group went on to iden-tify epitaxy as a key part of the “recipe book”for any successful foundry model, whether ornot it is contracted out to growth specialists.

Techniques to control material composi-tion and doping levels across wafers will alsobe necessary, said the III-V working group. Itadded that one option to solve the problem ofinsufficient demand could be to find a high-volume application in a different market sec-tor, such as photovoltaics or data storage.Processes used here could cross over intocommunications, it concluded: “Takingadvantage of developments in high-volumemarkets may be the only path for economicalIII-V integration in telecom.”

This 100Gb/s DWDM transmitter made byUS company Infinera demonstrates thehigh level of photonic integration that isidentified as a key element of the futurephotonics industry as defined in MIT’scommunications technology roadmap. Itfeatures 10 distributed feedback (DFB)lasers, modulators, variable opticalattenuators, power monitors and opticalmultiplexing on a single InP die.

INF

INE

RA

Photonics roadmap urges collaboration

“Taking advantage ofdevelopments in high-volume markets maybe the only path foreconomical III-Vintegration intelecom.”III-V WORKING GROUP OF THE

COMMUNICATIONS TECHNOLOGY

ROADMAP

Page 8: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

HEADLINE NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 20056

RF chip and module manufacturer Anadigicssays that it is leading the industry movementtowards greater GaAs RF functionalitythrough its integration of HBT and PHEMTdevices on a single InGaP die.

The Warren, NJ, company believes that theconvergence of the cellular handset with broad-band wireless access is ushering in a need forincreased device integration at the chip leveland that its PHEMT/HBT solution offersdesigners the same kind of freedom that they’vecome to expect in silicon-based FET circuits.

Enabling greater functionality in a smallerpackage is a key challenge for GaAs manu-facturers at the moment, and Anadigics’VPof technology, Aditya Gupta, says that the newprocess allows the on-die integration of poweramplifier (PA) and switch functions, as wellas more robust biasing circuits. The end resultfor handset manufacturers is longer talk-timesfor their products, which is something that isbecoming increasingly important with theadvent of battery-draining 3G applications,such as video messaging.

As if to emphasize this, wireless serviceprovider Verizon is now insisting that its hand-set suppliers provide phones with a minimumtalk time of 200 minutes, a demand that Guptasays that Anadigics’ technology can meet.

While Anadigics believes that it is the firstcompany to develop and implement into vol-

ume production a commercially viablePHEMT/HBT process, other GaAs manu-facturers, such as TriQuint Semiconductorand Agilent Technologies, are pursuing alter-native integration paths (see Compound Semi-conductor May p18 and p21).

Anadigics has used the process since 2003.Its AWT6137 PAmodule, launched in Marchlast year, was the first volume product toexploit PHEMT/HBT integration. AlthoughGupta admits that the integration technologyis unlikely to see Anadigics dislodge RFMicro Devices or Skyworks Solutions as theleading suppliers of GSM PAs, there are signsthat it is attracting some gains in market share.

In perhaps the clearest indication yet of theacceptance of the technology, Samsung, thethird-ranked cell-phone supplier with a globalmarket share of 12.6% last year, is to useAnadigics AWT6562 modules based on thehigh-efficiency low-power (HELP) productline in a 3G phone. Reacting to that, and anotherrecent design win in Samsung’s CDMAphoneline-up, market analyst Asif Anwar of StrategyAnalytics said: “The latest contract withSamsung demonstrates that Anadigics is mak-ing inroads into the GSM platform.”

And there should be more to follow,according to Anadigics, who said: “We’re cur-rently engaged with more handset manufac-turers than ever before.”

Anwar echoed the feeling that the PHEMT/HBT technology platform is unlikely to cre-ate a major shift in the cellular PA business:“Anadigics has stolen a march on its com-petitors by integrating a PHEMT/HBT pro-cess, and has demonstrated the viability of theprocess in commercially available productstargeting both CDMA and GSM platforms,”said the analyst. “On the other hand, and basedon the information available, we don’t see thisdevelopment leading to a major shift in cel-lular handset PA market share.”

Ultimately the integration strategies of allof the GaAs manufacturers should result inmodules that offer greater functionality forsuppliers of all kinds of wireless terminals.For Anadigics, the best prospects look likelyto come from the WiMAX area, where anincumbent market leader is yet to be estab-lished in terms of PA supply.

Anadigics believes that it has the technol-ogy to become that market leader as WiMAXconnectivity gathers momentum. StrategyAnalytics predicts a market of more than20 million WiMAX subscriber terminals andbase stations per year in 2009.

Anadigics aims to takemarket share with on-dietransistor integration

Consumer electronics giant and diode lasermanufacturer Sony has announced the launchof its forthcoming games console, the Play-station 3, which will feature Blu-ray Disc(BD) data storage technology.

Sony, which has allied with blue-laser pio-neer Nichia in the development of opticalheads that will be used in the next-generationstorage medium, says that it will launch theconsole at the Electronic Entertainment Expo,which takes place in May 2006.

The games sector will offer a big poten-tial market for blue lasers based on GaN. Forexample, Sony has sold nearly 200 million ofits previous-generations of Playstations.

The 54 GB of storage capacity available

through the BD-ROM discs will enable deliv-ery of graphics in full high-definition quality.

Meanwhile, Sony’s chief competitors in the

gaming world – Microsoft and Nintendo –said that they will not be using GaN laser tech-nology in their next-generation consoles.However, both Nintendo’s system andMicrosoft’s Xbox 360 will feature regularDVD storage that uses red lasers.

Next-generation DVD using GaN-basedlasers is expected to become fully commer-cial by the end of 2005. Although the two rivalindustry groups developing the technology –one led by Sony and Matsushita Electric, theother by the high-definition DVD industrygroup that includes laser makers Toshiba,Sanyo and NEC – have recently been involvedin talks to unify the two standards, no agree-ment has yet been reached.

Samsung is to use Anadigics poweramplifier modules in a new 3G phone.

Sony is using its hugely successfulPlaystation games platform to help launchthe Blu-ray Disc data storage format thatuses GaN-based blue laser technology..

Sony confirms GaN lasers will feature in Playstation 3 console

SO

NY

CO

MP

UT

ER

EN

TE

RTA

INM

EN

T

AN

AD

IGIC

S

Page 10: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

GAAS & WIRELESS NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 20058

WiMAX spending will rocket, says TIAWireless industry spending on infrastructurerequired to deploy the emerging WiMAXstandard in the US will rocket from just$15 million recorded last year to $290 millionin 2008. That’s according to an analysis of themarket by the Telecommunications IndustryAssociation (TIA), based in Arlington, VA.

WiMAX is a broadband-wireless-accesstechnology that uses a fixed, outdoor-mountedantenna similar to a small satellite dish to pro-vide a broadband connection with users thatare up to 50 km away.

Operating in the 2–11 GHz window,WiMAX could offer a sizeable market oppor-

tunity to GaAs-based chip manufacturers inthe future. Warren, NJ, firm Anadigics saysthat it has received a volume order for itsInGaP HBT power amplifiers to be used inWiMAX applications, while the top silicon-chip manufacturer Intel is known to be verykeen on the technology.

In its 2005 market review and forecast, theTIA says that it expects WiMAX-infrastruc-ture revenue to increase “dramatically” in thenext few years. “With the Wi-Fi and WiMAXmarkets expanding rapidly, we will begin tosee more demand for mobile broadband andbroadband connectivity,” said TIA president

Matthew Flanigan. “It is likely that both mar-kets will stimulate the overall broadband sec-tor to the benefit of all technologies.”

Although it will eventually be mobile,WiMAX will never achieve the nationwidecoverage of a cellular network, says the TIAin its report. Initial shipments of WiMAXequipment will be for backhaul applications,connecting hot spots with the Internet, it adds.

According to the industry group’s report,the WiMAX-infrastructure market in the USwill be worth $115 million this year, risingto $180 million in 2006, $230 million in 2007and $290 million in 2008.

Number-one cell-phone manufacturer Nokiahas revised its forecast for the 2005 handsetmarket following a strong start to the year.

The Finland-based firm, which had a hand-set market share of about 31% in 2004, is nowexpecting 15% growth this year, despite see-ing some weakness in the market for 3G hand-sets. If correct, that would see the overallmarket grow from 643 million units last yearto 740 million units in 2005. In an earlier fore-cast, Nokia expected global unit volumes toincrease by only 10%.

Nokia is seeing its fastest growth in China,which has now become its number-one singlemarket for mobile devices.

On the down side, Nokia saw shipmentsslide in the Americas, with North Americanvolumes down 33% annually. Nokia says thatoperator migration from TDMA, traditionallya strong Nokia sector, to GSM and CDMAprotocols, has been the chief reason for this.

In the first quarter of 2005, Nokia sold53.8 million mobile devices, representing ayear-on-year rise of 20%. As would beexpected in the extremely seasonal mobile-device market, that volume was down 19% onthe previous quarter.

Sales in China alone surged 69% comparedwith the equivalent period in 2004, reaching7.1 million units.

On the financial side, Nokia’s revenue fromcell-phone sales in the first quarter of 2005increased 11% compared with the same periodlast year to reach 74.5 billion ($5.9 billion).However, operating profit for the divisiondropped 16% to 7869 million.

One of the world’s leading satellite operatorshas signed a deal to buy terminals that useGaAs MMICs to provide broadband com-munication services to consumers. The UScompany Viasat will supply Telesat with itsDOCSIS-for-satellite terminals, which oper-ate in the Ka-band at 26–40 GHz.

And Viasat will be incorporating satellitemodems and Ka-band transceivers based onGaAs MMICs made by its Arizona-based sub-sidiary US Monolithics as a key element inthese terminals.

Viasat says that its terminals use a net-working technology that efficiently exploitsthe available satellite bandwidth. This ought

to result in cheaper services for consumers.Telesat, a Canadian company that in 1972

launched the first domestic commercial-com-munications satellite to be deployed in a geo-stationary orbit, had already chosen Viasat tosupply the critical technology for the Anik 2satellite just over a year ago.

“Telesat is committed to using the besttechnologies available to bring affordablebroadband services to consumers,” said DaveLahey, the satellite operator’s vice-presidentof business development. “Viasat’s terminalswill help Telesat deliver a superior satellitebroadband service that can help make the dig-ital divide a thing of the past,” he continued.

Viasat says that Telesat’s multiyear pur-chase order will bring the number of its DOC-SIS terminals that are on order around theworld to almost 200,000 units, thus estab-lishing the technology as a serious alternativefor broadband access.

Broadband-wireless-access providerGigaBeam is to use Endwave’s modules incommercial deployments of gigabit radiolinks operating in the E-band at 70–80GHz.The first of these links is in operation atTrump Place, downtown Manhattan,enabling residents in the block to receivefiber-equivalent wireless access, includingquadruple-play services: high-speed data,voice/video over IP, high-definition TV andvideo-on-demand. Ed Keible, president andCEO of Endwave, said: “As these highmillimeter-wave frequencies demandinnovative technology solutions and theprecision and repeatability of automatedmanufacturing, [our] expertise makes usuniquely positioned to serve as the leadingsubsystem supplier to E-Band radio OEMs.”

Nokia raises its 2005cell-phone forecast

Telesat buys Ka-bandterminals to deliverbroadband access

GIG

AB

EA

M

Page 11: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

9COMPOUND SEMICONDUCTOR JUNE 2005

GAAS & WIRELESS NEWScompoundsemiconductor.net

RFIC and module maker Skyworks Solutionssays that cell-phone handset sales will accel-erate in the second half of 2005 as the con-sumer uptake of 3G technology gathers paceafter a slow start.

Registering a 4% year-on-year increasein quarterly revenue in the seasonally weakpost-holiday period, the Woburn, MA, com-pany predicts that between 725 million and750 million handsets will be sold in 2005.

Despite the slower-than-expected initialuptake of 3G products, Skyworks’CEO DavidAldrich says that the penetration of top-tierphone vendors such as Motorola and Nokiainto China will more than compensate.

A key target for the firm is to increase thegross margin on its products as it simultane-ously looks to build dollar content per phonethrough the integration of different functions.

One example of this is Skyworks’progresswith Sony Ericsson, which the GaAs ICmanufacturer now boasts as a 10% customer,along with Samsung and Motorola. Previ-ously, Skyworks supplied Sony Ericsson withpower amplifiers at a cost of $1.50 per unit,

but the handset maker has now switched tousing a front-end module that sells for $2 butwhich reduces the phone’s overall bill ofmaterials.

Skyworks is also focusing on integratingnew filter technology, specifically bulkacoustic wave (BAW) filters, in future prod-ucts. Estimating that 2.3 billion filters wereused in cell-phones in 2004, Aldrich says thathe expects the market share of BAW devices– currently very small – to rise dramaticallyas advanced, multiband phones proliferate.

Having recently invested in increasingHBT capacity at its Newbury Park facility,Skyworks is also looking to reduce GaAs-wafer costs with a view to improving margins.

As a result of the expected second-halfbounce and improved margins, Skyworks isexpecting its operating profit to increase by25% sequentially.

For the quarter that ended April 1,Skyworks’net profit came in at $1.2 million,compared with a net profit of $13.9 million inthe prior quarter and a net loss of $9.4 millionin the equivalent period last year.

Fujitsu Microelectronics America and SiGeSemiconductor have collaborated on a 3.5GHzreference design for WiMAX equipment.

The design – based on Fujitsu’s new sys-tem-on-chip baseband, which is combinedwith SiGe Semiconductor’s RF transceiverchipset – will now be submitted to the WiMAXForum industry group for certification.

Providing a complete RF chain, the refer-ence design is said to deliver high-intensitysignals, produce low noise and have a tun-ing range of between 3.3 and 3.8 GHz. Itallows simultaneous broadband access tohigh-speed voice, data and video services.

SiGe Semiconductor has also released anew RF front-end module that allows simul-taneous transmission and reception of wire-less LAN and cellular signals.

“Our new modules are the industry’s onlytruly integrated WLAN front-end solutionsfor cellular handsets,” said Andrew Parolin,SiGe Semiconductor’s director of wirelessdata products. “With these devices, we areaddressing the fast-growing market opportu-

nity created by the widespread adoption ofWLAN, and consumer desire for increasedcellular feature integration.”

Increasing deployment of multimode andmultiband wireless handsets developed forthe latest wireless-communications standardsis set to spark demand for RF semiconductors.

That’s according to analyst firm Frost &Sullivan, which has just issued a report on theRF semiconductor market in handsets. It saysthat the value of the sector is set to grow from$5.34 billion in 2004 to $8.27 billion in 2008.

Frost & Sullivan’s figures include all RFsemiconductors used in handsets – bothGaAs- and silicon-based – specifically refer-ring to RF switches and filters, duplexers,power amplifiers and transceivers.

“The swelling number of wireless stan-dards, coupled with the co-existence of manystandards in any region, necessitates multi-mode and multiband handsets, [for which]there is a corresponding hike in the number ofRF components that go into a handset,” saidFrost & Sullivan analyst Deepa Doraiswamy.“Additional filters have to be added in thefront-end to serve multiple frequencies. It willultimately increase the dollar value of RFsemiconductors.”

Device integration and scalability are twokey aspects that RFIC manufacturers mustconcentrate on, the report urges.

“RF semiconductor firms will have to designdevices that improve the overall performanceof the RF section in handsets and support thecreation of single-chip radios and the integra-tion of RF and baseband,” said Doraiswamy.

The analyst adds that many companieshave begun to invest heavily in developingthe process technologies required to cope withthe increasing pace at which handset designsevolve: “The design cycles of handsets couldshrink further. RF semiconductor manufac-turers need to develop scalable solutions withadvanced power control features and inter-faces to varied functionality.”

Doraiswamy adds that with the filter andswitch markets almost saturated, it is thepower amplifier and RF transceiver applica-tions that offer more scope for technologicallyinnovative start-up companies.

“An emerging company with a ground-breaking technology can make significantinroads into these markets, provided that thegestation period for its technology is short.” Frost & Sullivan’s Strategic Analysis ofWorld Handset RF Semiconductor Markets isavailable at www.frost.com.

Skyworks is confident ofa strong finish to 2005

Frost report pressesfor RF-chip scaling

SiGe reference design shapes up for WiMAX

The SiGe/Fujitsu WiMAX reference designwill provide an easy integration path tobroadband wireless communication at3.5GHz, according to the two companies.

SIG

E S

EM

ICO

ND

UC

TO

R

Page 12: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

By Tim WhitakerJapanese LED maker Citizen Electronics isfacing a lawsuit filed by its German competi-tor Osram Opto Semiconductors.

The complaint concerns Osram’s patentedcolor-conversion technology for white LEDs,which is used in applications including dis-play backlighting in cell-phones and car radios.

Developed by Osram in the mid-to-late1990s, the technology in question uses a phos-phor to convert blue emission from InGaNchips into white light. Osram claims to havebeen the first manufacturer to launch the whitesingle-chip LED commercially.

The situation is complicated because Citi-zen makes white LEDs using technologylicensed from Nichia, under an agreementreached in 2002. Later that year Nichia andOsram signed a cross-licensing agreement,allowing them access to each other’s technol-ogy, but this doesn’t cover third-party access.

In June 2004 Nichia issued a statementaimed at its competitors that signed licensingagreements with Osram in relation to whiteLEDs. Nichia was keen to stress that, despitethe cross-license agreement between Nichia

and Osram, a license granted to a third partyunder Osram’s patents does not imply alicense under Nichia’s patents.

In the latest lawsuit, Osram claims thatCitizen continues to infringe its patents byimporting, selling and offering certain whiteLEDs in Germany. Osram intends to obtain arestraining order and is applying for com-pensation. The company has also taken simi-lar action against an LED distributor, whichhas since declared that it will not distributeCitizen products that infringe Osram's patents.

Osram issued similar warnings to Malay-sian LED manufacturer Dominant and its dis-tributors in Germany, France and Taiwan onthe basis of the patent infringements last year.However, Dominant now claims that theInternational Trade Commission has clearedit of any wrong doing, freeing the company tosell white LEDs globally.

“Our objective in taking legal actionagainst Citizen is to prevent unauthorized useof our technology,” stated Osram CEORüdiger Müller.

Tim Whitaker is Editor of LEDs Magazine.

LED NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 200510

Osram files a white-LEDlawsuit against Citizen

Kopin Corporation, the Taunton, MA, sup-plier of HBT epiwafers, reports a net profit of$1.2 million in its latest financial quarter.

Although the company’s $18.9million rev-enue was down on the figure posted in theequivalent period last year, the decision toshelve its LED manufacturing activities hasimproved its bottom-line performance.

A year ago Kopin reported a net loss of

$3.4 million on revenue of $22.4 million.However, spinning out its LED manufactur-ing business as part of Taiwan-based joint ven-ture KO-BRITE appears to have saved Kopinmore than $5 million in operating costs dur-ing the last quarter.

The company also registered a reimburse-ment of $0.65 million for providing KO-BRITE with training and R&D expertise.

LED spin-off propels Kopin into profit

US-based LED manufacturer Lumiledshas improved the performance of itsLuxeon I LED for all InGaN colors (white,blue, cyan, green and royal blue) whilemaintaining their cost. White LEDemission has increased from 31 to 45 lm.Other colors are said to have similarimprovements, all without increasing thepackage or chip size. It has also releasedred, red-orange and amber Luxeon III

LEDs that deliver 110, 140 and 190 lm. Color Kinetics has used Cree’s high-brightness XLamp 7090 LED series inseveral of its next-generation architecturaland entertainment lighting products. ColorKinetics vice-president of engineering,Fritz Morgan, said that the company choseCree’s XLamp because of its intensitygains, tight color and intensity binning, andease of use in the manufacturing processes.

In brief

Page 13: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

11COMPOUND SEMICONDUCTOR JUNE 2005

LED NEWScompoundsemiconductor.net

By Tim WhitakerCree has licensed its white LED patent (USpatent 6,600,175) to Japanese firms StanleyElectric and Rohm, as well as Cotco Holdingsfrom Hong Kong.

The license gives manufacturers the rightto sell white LEDs incorporating Cree’s LEDchips. Cree is currently in discussions withother potential partners to license this patent,and it says that it hopes to announce furtherlicensing arrangements later this year

The patent in question was also licensed toNichia earlier this year. Originally filed in1996 by Advanced Technology Materials Inc(ATMI), the patent was not granted until 2003and was only acquired by Cree when the com-pany took over ATMI’s GaN epitaxy and sub-strates business last year.

The patent covers any solid-state devicecoupled with a down-converting light-emit-ting medium, and it specifically refers to the

production of white light by combining amonochromatic blue or UV LED with a fluor-escent organic and/or inorganic material in apolymeric matrix.

“These additional licenses further reinforcethe importance of this patent and the increas-ing awareness and respect for intellectualproperty in the industry,” said Cree's generalmanager of optoelectronics, Scott Schwab. Cree’s CEO and president, ChuckSwoboda, has been elected as chairman ofthe company’s board of directors followingthe resignation of F Neal Hunter.

As one of Cree’s original founders, Hunterhad been chairman for the past 10 years, dur-ing which time Cree became a major manu-facturer of SiC-based LED chips andmicroelectronic devices. Hunter was also CreeCEO from 1994 to 2001.

Tim Whitaker is Editor of LEDs magazine.

Osram says that its Ostar Lighting LED isthe brightest semiconductor light sourcethat it has ever released. The white-emitting3×1 cm package is claimed to producemore than 200 lm at 700mA and to last formore than 50000hours. The device differsfrom most white-light LEDs, says Osram,because the yellow converting material isincorporated directly on the chip and not inthe encapsulation material. The Germancompany claims that this modificationmeans that its latest LED can deliver purewhite, which remains constant from allviewing angles and does not create coloredshadows at the edges.

Cree licenses patents toa trio of firms in Far East

By Tim WhitakerNichia says that it will accelerate its patentenforcement against blue/white LEDs thatinfringe its intellectual property rights.

The Japanese firm claims that, although ithas taken a series of legal actions against cer-tain LED manufacturers to protect its patentedtechnology, it “believes that its rights are notnecessarily respected by certain companies inthe industry”.

“Intellectual property has been an impor-tant issue for Nichia, and its importance willnot be diminishing in the future,” said NoboruTazaki, executive vice-president of NichiaCorporation. “We are serious in protecting ourrights, as well as business of our customersand our licensing partners.”

According to the company, some distribu-tors are now marketing products with labelsindicating that they contain Nichia LEDs, andNichia is not currently enforcing its LEDpatents against those labeled products.

Nichia is considering additional legalaction in several countries, and will com-mence if an infringement is found and thethreat is “not tolerable”.

Nichia: ‘further IPactions are needed’

OS

RA

M

Page 14: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

WIDE BANDGAP NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 200512

The SiC electronic device market will beworth more than $50million by 2009, accord-ing to a report by German market analystWicht Technologie Consulting (WTC).

The Munich-based firm says that althoughalmost 80% of SiC substrates will be used forhigh-brightness blue, green and white LEDmanufacture by then, “fresh” markets willemerge for a new generation of energy-effi-cient, high-performance diodes and transis-tors used in power supplies and electric motors.

The company predicts that the global mar-ket for SiC Schottky diodes and transistorswill increase from $13million in 2004 to morethan $53 million in 2009 at a compoundannual growth rate of 32%.

WTC senior research analyst OlivierNowak explains that the attractions of SiCSchottky diodes over their silicon counter-parts – far lower losses when operated in for-ward bias and higher-temperature operation– result in smaller, more efficient power sup-plies. The market for replacement power sup-

plies that could contain SiC diodes is worthseveral billion dollars. He added that if thesedevices were used in conjunction with SiCMOSFETs, then 10-fold improvements inenergy savings would be possible.

Despite the intrinsic advantages of SiC oversilicon, Nowak says that commercial SiC-based products have so far been limited toSchottky diodes and MESFET RF transistors.According to his report, today’s SiC Schottkydiode market is headed by US company Cree,which holds a market share of 50%, andGermany-based Infineon. Cree also has a 95%share of the far smaller and less mature tran-sistor market. Rockwell Scientific is the onlyother commercial supplier of SiC MESFETs.

“Materials issues with SiC substrates andsupply chain deficiencies have compoundedto keep prices high, especially for transistors,”remarked Nowak, who added that today high-end power supplies are the only commercialapplication of power devices based on SiC.

WTC also expects Cree’s dominance in theSiC substrate market to continue. However,it added that the Finland-based start-upNorstel, which also sells SiC substrates, willtake a significant share of the relatively smallEuropean market for power device substrates.

SiC electronics market set to quadruple by 2009

Our commitment shows in our attention to detail, system reliability, and deposition performance.

partners in progress committed to quality

Built-in fume hood

100-step recipes

Nine 2”, five 3”, or three 4” wafers

Small footprint

Uniform Deposition of SiO2,Si3N4, SiOxNy, a-Si:H

Passivation layers

Interlayer dielectric

Anneal caps

SAMCO PECVD System

PD–220N

Headquarters: Kyoto, Japan

Phone: +81 (75) 621-7841Fax: +81 (75) 621-0936 www.samcointl.com/PECVD

US Office: Sunnyvale, CA

Phone: +1 (408) 734-0459Fax: +1 (408) 734-0961

deposition

60

50

40

30

20

10

02004 2005 2006 2007 2008 2009

SiC

dev

ice

mar

ket (

$ m

illion

s)

year

SO

UR

CE

: WT

C

There are big opportunities for SiC devicemakers in power circuit management, saysthe market research firm WTC.

Page 15: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

13COMPOUND SEMICONDUCTOR JUNE 2005

WIDE BANDGAP NEWScompoundsemiconductor.net

Emcore spin-off raises $6millionEmcore has spun off the development of itsGaN power device technology in the formof a new firm called Velox Semiconductor.

Velox, in which Emcore holds a 20% stake,has already raised $6 million from three ven-ture capitalists – DCM-Doll Capital Manage-ment, SAS Investors and DFJ New England.

The firm was set up to commercialize fast,high-voltage GaN diodes for high-power elec-trical supply applications and is headed up byCEO Tom Hierl. Hierl previously formedQuantum Epitaxial Designs where he wasCEO until the company’s merger with UK-based epiwafer supplier IQE in 1999.

Emcore CTO Richard Stall is on the Veloxboard, and the new firm has five full-timeemployees. Velox will also sub-let space fromEmcore, and it is expected to reduce Emcore’soperating expenses by $1.2 million per year.

Emcore CEO Reuben Richards says thatthe Velox spin-off will focus on the 200–600Vpower diode product line, with Emcore’sGaN-based RF development staying in-house.

That’s because the technology and its appli-cation areas are distinct from the conventionalIII-V business areas, with the GaN powerdiode business requiring a separate distribu-tion system that does not fit in with the rest ofEmcore’s product lines. “We decided that itwas better off on its own,” said Richards.

Richards adds that Emcore’s GaN RF busi-ness is one of its most profitable and fast-growing units, with associated revenuetripling in the most recent quarter.

In its latest quarter, the Somerset, NJ, chipmanufacturer reported a year-on-year revenueincrease of 31% to $30.4 million, witnessingstronger demand across its fiber-optic, photo-voltaics and electronic materials businesses.

Emcore made an operating loss of $4.9mil-lion in the quarter, but saw its overall incomeboosted by a $13.2 million earn-out due toVeeco’s sales of TurboDisc MOCVD equip-ment. (Veeco acquired the TurboDisc divisionin 2003.) The net result was a profit of$7.6 million for the quarter.

In its bid to challenge silicon LDMOS tech-nology in cellular infrastructure applications,RF Micro Devices (RFMD) says that it hassampled 100 W GaN amplifiers to customers.

Speaking at the recent Piper Jaffray 7thAnnual Technology Conference, CEO BobBruggeworth said that the technology could“change the game” in this area of the com-pany’s business, in a similar fashion to theeffect that GaAs HBTs had on the cell-phonehandset sector in the 1990s.

Bruggeworth also revealed that RFMD hasmanufactured its first GaN wafers at itsGreensboro, NC, production facility, wherethe company makes its GaAs-based devices,after migrating this capability from itsresearch and development fab in Charlotte.

The infrastructure business, which Brug-geworth believes offers his firm an estimated$800 million total addressable market, shouldalso increase overall profit margins becauseof the high-value RFICs that are required.

RFMD road-tests its100W GaN amplifiers

Page 17: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

FIBER NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 2005 15

Faced with dwindling cash reserves, opto-electronic-chip manufacturer Bookham hasbeen boosted by a $100 million minimum-purchase commitment from its number-onecustomer, Nortel.

Reporting sales of $49.9 million for its thirdquarter – a 22% year-on-year increase –Bookham says that Nortel, which accountedfor 39% of its revenue in the three months upto April 2, has also relaxed some of the termsof Bookham’s loan repayments.

Thanks to Nortel’s commitment, and whatBookham CEO Giorgio Anania describes as“accelerating demand”, particularly for lasertransmitter components used in metropolitancommunication systems, the firm gave guid-ance predicting a strong upturn in revenue forits next two quarters. In fact, says Anania,Bookham has been unable to meet some cus-tomer orders because of limited capacity.

And the CEO is keen to stress that the firmhas widened its customer base, with key sys-tem deployer Cisco accounting for more than10% of Bookham’s revenue for the first time.

Half of the Nortel supply arrangement cov-ers products made in Paignton, UK, that aredue to be discontinued, while the remainderis for ongoing product lines that will be assem-bled and tested in Shenzhen, China.

Although the increased Nortel order shouldbenefit the company overall, it does mean thatBookham will have to keep its UK assemblyplant open for six months longer than it hadoriginally expected.

That will hamper Bookham’s ongoing cost-

cutting measures as the company watches itscash reserves melt away.

So despite the improving demand and vis-ibility, Bookham still looks like it could facea cashflow problem before long unless it canraise further capital, possibly through the saleof its Paignton site. On the company’s books,the site is included as an “asset held for resale”worth $14 million.

Cash and cash equivalents now stand at$34.5 million, down from $69.5 million justthree months ago. Bookham has also taken theopportunity to write down some of thereduced valuations of its 2004 acquisitions,with the net effect putting a further $100 mil-

lion dent in the company’s balance sheet.The expected 15–20% increase in revenue

in the current quarter, followed by a furtherincrease of around 5% in the subsequent quar-ter, on top of the reduction in manufacturingcosts, will inevitably slow down Bookham’scash burn.

But without some kind of financial injec-tion, it remains to be seen whether the improv-ing business climate will be sufficient to staveoff a cash crunch. Bookham still expects topost a net loss in the next two quarters, and ontop of that it will have to pay out a further$8 million–$12 million in costs associatedwith its restructuring.

JDS Uniphase (JDSU), which makes com-pound semiconductor chips that are used inoptical communications applications, is to fur-ther reduce its manufacturing operations as itaims to become profitable.

According to company CEO Kevin Ken-nedy, 1350 positions will be removed fromthe payroll: 850 in North America and a fur-ther 500 in China. Cost-saving measuresinclude the closure of a transceiver manu-facturing operation in Florida, the sale ofanother facility in Ewing, NJ, and the divesti-ture of its cable-TV business.

With further streamlining of its operationsin the pipeline, the company is at last seeingstrengthening demand for its components thatare used in optical communications. Kennedysaid that JDSU’s communications businessmade sales of $101.7million in the quarter thatended March 31.

That represents a 28% year-on-yearincrease. And with revenue in the company’sother business area – commercial and con-sumer products – dropping sharply, the com-munications group was responsible for morethan 60% of JDSU’s revenue in the recent

quarter. As recently as last year, the splitbetween the two business groups was even.But with the lower profit margins associatedwith the communications business, the com-pany is planning to continue trimming itsworkforce and product offering.

On its total sales of $166 million in the mostrecent quarter, JDSU made an operating lossof $44.6 million and a net loss of $38.6 mil-lion. The company reckons that the mostrecent cost-cutting measures will lead to sav-ings of around $20 million per quarter oncefully implemented.

Streamlined JDSU sees demand build momentum

Bookham isbusy switchingproduct lines tothis Shenzhen,China, facility ina bid to cutcosts, butrestructuringcharges and asix-month delayin the closure ofthe Paignton,UK, plant will putextra pressureon thecompany’srapidly-diminishingcash reserves.B

OO

KH

AM

Extended Nortel deal delays closure ofBookham’s UK product-assembly plant

Page 18: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

FIBER NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 200516

CyOptics says that it has raised $24 million inits Series F funding round, which was com-pleted just as the Israel-based firm finalizedits acquisition of TriQuint Semiconductor’soptoelectronics business.

According to CyOptics’ VP of sales andmarketing, Ali Abouzari, the latest fundinground comprised a combination of $16.5 mil-lion in equity investment and a $7.5 millionloan from the Comerica Bank. Other partici-pants in the funding round included JerusalemVenture Partners, Sprout Group, TowerBrookCapital Partners and Eurofund.

CyOptics closed its previous funding roundof $10.3 million as recently as September lastyear. It will now apply some of its existingdevice designs and packaging expertise to theformer TriQuint product line.

Valued at $23.5 million all told, the acqui-sition of TriQuint’s optoelectronics divisionincluded an undisclosed cash transaction,while it also gave TriQuint an equity stake inCyOptics. The deal also included so-called“seller financing”.

CyOptics now has two InPwafer fabs: onein Lehigh Valley, Pennsylvania, and a secondin Yokneam Illit, Israel. The latter, a 7000 ft2

facility, has been operational since 2002. The

company now plans to consolidate volumedevice production at the Lehigh Valley facil-ity, while the wafer fab in Israel will beretained for development work.

Abouzari confirmed that CyOptics plansto sell the full range of TriQuint products that

were acquired in the deal, while implement-ing its own device designs in some cases.Examples of this strategy include CyOptics’offering of an uncooled laser operating at hightemperatures, and also its quasi-cooled laser.

While concentrating initially on ensuringthe continued supply of optical componentsto its new customers, CyOptics believes thatit can return to profitability this year.

CyOptics has also won a contract awardedby leading supercomputer builder Cray. Thehigh-performance computing firm says thatcopper-based interconnects fundamentallylimit the speed of a computer, and it is look-ing for a fiber-optic solution to provide datatransfer rates of more than 100 Gb/s.

Working under the Defense AdvancedResearch Project Agency’s high-productivitycomputing systems program, Cray is aimingto build a computer that is capable of makinga million billion calculations per second,known as one “petaflop” in supercomputerparlance, by 2010.

“This demonstration will make use of next-generation uncooled InP laser technology,wavelength division multiplexing and high-precision automated assembly processes,”said CyOptics CEO Ed Coringato.

Avanex, the Fremont, CA, photonic chip-maker, is to make major cuts to its wafermanufacturing operations in Nozay, France.

The move, which Avanex CEO Jo Majordescribed as “the most significant piece of itsrestructuring plans”, will see the French work-force reduced by approximately 60% as thecompany strives to cut operating costs.

Avanex has begun closing its US manu-facturing operations and switching produc-tion to a low-cost operation in Bangkok,Thailand. In addition, it has outsourced muchof its component manufacturing as it aims tomake an operating profit by June 2006.

The company expects to wipe out $28 mil-lion in annual expenses through the restruc-turing of its French operation, but at aone-time cost of $26 million – mostly in sev-erance payments to its workers.

In another bid to stave off any impending

cash-flow difficulties, Avanex has agreed a$35 million senior convertible note with insti-tutional investors. The notes are due in 2008,and are convertible into common stock at$1.21 per share initially. Interest will bepayable up until May 19, 2007, and when thewarrants expire in 2008 they can beexchanged for $1.5125 per share. The $35 mil-lion raised will be used in part to support thecompany’s extensive restructuring.

In its most recent quarter, Avanex saw itsrevenue decline slightly on the prior quarterbecause of annual price reductions. But at$40.3million, the sales figure still representeda 34% increase on last year.

Although still relatively dependent onAlcatel as its key customer, the company hasalso managed to diversify its client base, withCiena and Cisco both accounting for morethan 10% of sales revenue in the quarter.

While it waits for the effects of restructur-ing to kick in, Avanex is still operating at agross loss. Its net loss of $18.9 million for thethree months ending March 31 was at least animprovement on the same period last year,when it made a net loss of $41 million.

Major added that Avanex had scored somedesign wins in the all-important Asian mar-ket, and that the fiber-to-the-home sector waspicking up momentum. Noting growing con-fidence and improved market visibility, he isexpecting to see 4–6% sequential growth inrevenue in the current quarter. Avanex has replaced Syrus Madavi, whois leaving for personal reasons, with currentCEO Jo Major as the chairman of its board. Ithas also appointed former JDS Uniphaseexecutive Greg Dougherty as a director. Pre-viously chief operating officer at both JDSUand SDL, Dougherty is also the acting CEOof laser start-up Picarro, which recently raised$15million in series C financing. Like Dough-erty, Major was previously at JDS Uniphase.

Avanex cuts deep at French fab

Burton Smith, the chief scientist atsupercomputer builder Cray, will be hopingthat he can overcome the current limits ofhigh-speed data processing by developingoptical interconnects featuring InP-basedlasers made by CyOptics.

CR

AY

CyOptics raises $24 million fundingand secures supercomputer contract

Page 19: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

OPTO NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 2005 17

Toshiba reveals a triple-layer high-capacity discToshiba has created a triple-layer version ofits high-definition DVD disc that holds up to45 GB of data. Read by an optical head fea-turing a GaN-based blue laser diode, it couldrecord 12 hours of high-definition movies.

The company has also revealed a novelhybrid disc technology that will allow con-sumers to view standard DVD content usingexisting players, as well as high-definitioncontent with next-generation technology.

This hybrid solution features two 0.6 mmthick discs bonded back to back. One disc hasa 30GB dual layer for HD DVD content whilethe second has an 8.5 GB dual layer for stan-dard DVD content.

“The new disc allows consumers to viewDVD content on standard DVD players and,after purchasing an HD DVD player, to enjoyhigh-definition content from the same disc,”said the company.

Meanwhile, Toshiba’s Blu-ray Disc Asso-ciation rival Matsushita Electric Industrial is

to start operating a pilot production line for Blu-ray Disc (BD) replication in Torrance, CA, thismonth. Pilot production of dual-layer BD-ROM discs is expected in December.

US-based manufacturer of miniature opticaltechnology Dataplay says that it plans todevelop optical drives for Forward VersatileDisc (FVD), a red-laser based technologythat’s being promoted in Taiwan.

FVD is suitable for high-definition filmsof up to 135 minutes when used with Micro-soft’s Windows Media Video-9 compressiontechnology. Some 5.4–6 GB of data can bestored on a single-layer disc and nearly twicethat amount on the dual-layer version.

The technology has been developed byTaiwan’s Industrial Technology ResearchInstitute (ITRI) and its Advanced OpticalStorage Research Alliances.

Dataplay has established a memorandumof understanding with ITRI to develop FVD-based technologies that include the engine,media and content protection system.

The FVD format was released in Taiwan inMarch. Players should appear in the shops thissummer with a price tag of around $175.

Toshiba’s 45GB discs can store up to12hours of high-definition films.

US firm helps establish a novel DVD format

UK-based laser manufacturer Intense hasraised a further £2.5 million ($4.8 million)in venture finance as it looks to scale up laser-module production. Noble Venture Finance,the supplier of the extra cash, has an option topurchase shares in the manufacturer at a pref-erential discount of 20–25% as a result.

“We have big plans to build our client baseand increase market share over the comingyear, particularly in the printing industry,” saidIntense CEO David Lockwood.

Intense has already transferred some of itsR&D activity to the local Photonix foundryin Glasgow in a bid to free up some space atits own fab in High Blantyre. Using itspatented quantum-well intermixing process,it makes a standard multibeam laser platformand adapts it to custom requirements in appli-cations ranging from high-resolution printingof magazines to lower-end commercial uses,such as patch printing for cardboard boxes.

Currently making thousands of laser mod-ules per year, Intense believes that demandfrom printing could grow to more than 10times as many, partly driven by new applica-tions such as printing of digital photos.

Intense lands extra£2.5 million funding

TO

SH

IBA

Page 20: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 200518

Hybrid electric vehicles (HEVs) rep-resent a major challenge for automo-bile designers, especially in terms of

their size, weight, the choice of electronic sys-tems and controls, as well as the thermal man-agement of these additional systems. For theHEV industry to continue to grow, thesechallenges must be overcome with efficient,cost-effective solutions. One option is thedeployment of silicon carbide (SiC)-basedcomponents. This technology is alreadypoised to provide a means to improve HEVsystem efficiency, while reducing the need forelaborate thermal-management systems thatadd size, weight and cost to vehicles.

Since the introduction of the Toyota Priusin Japan in 1997, worldwide HEV sales havegrown rapidly year on year. In 2003 they rep-resented 0.15% of the total automobile mar-ket, and in 2005 this figure is predicted to riseto 0.5%. Car buyers are now being offered anincreased range of models. In addition toToyota, Ford and Honda have joined thehybrid revolution and DaimlerChrysler,General Motors, Hyundai, Nissan and othersare expected to follow with the introductionof their own hybrids in coming years.

The advantages of HEVWith fuel efficiency ratings as high as 60mpg,HEVs consume up to 50% less fuel per milethan many other cars, thereby reducing fos-sil-fuel emissions. As a result, governmentsubsidies are available in many countries forHEV drivers living in high-congestion areas.Sales suggest that an increasing number ofconsumers are attracted to the efficiency, theenvironmentally friendly operation, and thesubsidies associated with HEVs. But despitethe clear attractions, HEV growth is projectedto stagnate at around 3% of the total automo-bile market unless HEV prices fall to competewith traditional cars containing internal com-bustion engines (ICEs).

Current HEV platforms, which use silicon-based power electronics, are faced with twomajor challenges: size and weight. In additionto an ICE, HEVs must also accommodate

power electronics, energy storage, and anelectric motor in the predefined volume of theautomobile platform. Engineering solutionsto combat these challenges, such as usingalternative frame or body materials and reduc-ing either passenger or cargo space, result inless capable, more expensive HEVs than theirtraditional ICE counterparts.

The HEV’s motor drive – a power-elec-tronics component that converts stored energyinto an alternating-current (AC) sourceneeded to operate the electric motor – is oneof the main contributors to the system’s sizeand weight. Typically, HEV motor drives usesilicon insulated-gate bipolar transistors(IGBTs) for the primary switching element,with silicon pin diodes as the fly-back diode,configured in a module designed to controlthree-phase motors. The module is positionedinside the engine compartment as close to theelectric motor as possible to minimize para-sitic inductance and reduce cabling weight.

The combination of silicon IGBTs and pindiodes is ideal for high-power applications,because the devices can be scaled to handlehundreds of amps per die. But, like all silicondevices, they are limited to junction temper-atures of 150–175 °C. Controlling the junc-tion temperature of the silicon electronics inthe engine compartment’s harsh environmentrequires large heat sinks and liquid cooling,but both of these solutions are costly and dif-ficult to integrate into the volume availablewithin the engine compartment.

The temperature limitations inherent to sili-con technology mean that state-of-the-art sili-con electronic components cannot meet thedemands of HEV platforms and represent anarea for significant improvement if the HEVmarket is to continue to grow.

This is where the opportunity for SiC lies.The ability of SiC-based power electronics toaddress these issues more efficiently than theirsilicon counterparts is a fundamental strengthof the technology.

SiC is a wide-bandgap semiconductor thathas been considered suitable for next-gener-ation power electronics for many years.

Fundamental material advantages, such ashigher breakdown voltage and reduced ther-mal generation of intrinsic free carriers, sep-arate SiC from traditional semiconductormaterials such as silicon and GaAs (see table,p20). As a result, SiC electronics can oper-ate at substantially higher temperatures, powerdensities and frequencies than conventionalsilicon. The combination of these threestrengths translates into smaller, lighter, andsimpler electrical systems for HEVs.

POWER ELECTRONICS

Sales of hybrid electric vehicles are climbing but, unless theprices of such cars fall, stagnation could follow. The solution, saysWill Draper, is to use silicon carbide chips to reduce the size ofthe power electronics and increase operating temperature.

Silicon carbide set to reduce s

The Toyota Prius (inset), with a fuel efficiency of 60miles2002 Midnight Sun to Red Sea Rally, a three-week race SiC devices should help to reduce the weight of HEVs a

TO

YO

TA

Page 21: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

19COMPOUND SEMICONDUCTOR JUNE 2005

POWER ELECTRONICScompoundsemiconductor.net

Of course, SiC semiconductor devices facesome challenges that must be overcomebefore they can reach the power levelsdemanded by HEVs and become economi-cally feasible. SiC substrate costs have beenhigh for many years and availability has beenlow, although this situation is starting tochange as additional substrate suppliers cre-ate competition for customers.

Substrate quality and diameter have alsolimited the advancement of SiC devices.

Crystal defects called micropipes have longbeen the nemesis of the material. They causecatastrophic device failure and inhibit chipscaling to higher current levels. However,over the last several years, micropipe densi-ties have been reduced to one-hundredth ofprevious levels, and this trend is set to con-tinue as the technology matures. Furtherimprovements to SiC material quality andavailability are also expected as the industrymigrates to 4 inch substrates and beyond.

Another area of difficulty is that the devicetopologies exploited in silicon technology –such as CMOS and IGBT devices – are notdirectly transferable to their SiC counterpartsfor practical and physical reasons. Conven-tional silicon CMOS relies heavily on dopantdiffusion. This process is unsuitable for theSiC material system, because dopant diffu-sion is negligible at practical processing tem-peratures of below 1500 °C. Current researchis investigating dopant diffusion in SiC at tem-peratures above 1800 °C, but semiconductorprocessing equipment operating in this regimeis practically non-existent, and heating sub-strates to these temperatures is likely to causethem to bow, warp and crack.

There is also an absence of commerciallyavailable SiC p-type substrates that arerequired for IGBT structures. Althoughresearchers in Germany have producedp-doped SiC substrates by using a growthchamber with additional gas-flow, this workis still in its infancy (see CompoundSemiconductor March p23).

The difficulties associated with dopant dif-fusion, and the lack of availability of p-typesubstrates, are just two revealing examples ofwhy alternative control circuitry and power-switching device topologies must be devel-oped for the unique SiC material system. Onesuch alternative is the SiC Smart Power Chip,an all-SiC three-phase motor drive developedby Mississippi State University spin-outSemiSouth. This component will be able tooperate in high-temperature environments,such as an engine compartment, without a

size of hybrid electric engines

Toyota and Ford both use this parallelconfiguration that allows the vehicle to bepowered by either the internal combustionengine or the battery. SiC components,which would be located in the controller, areable to convert the battery’s DC output intoan AC source suitable for the motor.

s per gallon, uses a hybrid electric engine. The world’s first hybrid electric rally car, it competed in thefrom the north of Sweden to the shores of the Red Sea in Jordan. Replacing the silicon electronics with

and increase their overall competitiveness.

engine

battery

SiC controllermotor

gear

Page 22: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

POWER ELECTRONICS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 200520

large, complex cooling system. As a result,it should greatly reduce the size, weight andcomplexity of HEV system design.

This future product is the result of the com-bined efforts of SemiSouth and the USNational Institute of Standards and Tech-nology (NIST). Under NIST’s AdvancedTechnology Program, SemiSouth is workingto develop and integrate advanced SiC con-trol circuitry and power transistors in a com-pact power module for use in HEVs. By usingSiC, the HEV motor-drive-inverter volumecan be cut by more than 50% and the liquidcooling system can be eliminated.

Power-switch scepticismAlthough SiC smart-power technology is awell discussed topic, it has received limitedscientific investigation. Proposed solutionshave been met with skepticism, mainly relatedto the operation of the power switch. SiC bipo-lar junction transistors (BJTs) suffer from lowcurrent gain and forward-voltage degradation.Similarly, SiC MOSFETs are plagued withhigh-temperature reliability concerns andthreshold voltage shifts.

SemiSouth has also developed a SiC powerFETtechnology that overcomes the MOSFETand BJT problems. The technology is ideal forefficient power conversion at high tempera-tures because the absence of the metal-oxide-semiconductor region improves devicereliability, and its unipolar nature eliminatesany effects from forward and reverse recov-ery. SemiSouth believes that this technologywill be capable of operating at much highertemperatures (300–500 °C) and frequencies(≥1 MHz) than conventional silicon, as well

as reducing the overall size and weight ofHEVs. Some progress has already been madein this area, with SemiSouth’s engineersdeveloping a variety of high-power devicesthat have operated at 500 °C.

Through continued research and advance-ments in technology, such as those undertakenby SemiSouth, future control electronics willbe realized through the monolithic integrationof SiC FET devices to form logic gates andoperational amplifiers. These basic buildingblocks will combine to create ICs for con-trolling HEV motor drives. In addition, dis-crete, high-power SiC FETs will be integratedwith the monolithic control elements to forma complete multichip power module.

All of these technological advances areexpected to be integrated into HEVs duringthe next three to five years, and together theyshould lead to lighter, smaller, and more fuel-efficient cars that will in turn promote furthergrowth in this industry.

Will Draper is director of technical productmarketing at Semisouth Labs. E-mail: [email protected].

Thermal 4.9 1.3 1.3 0.55conductivity (W/cm K)Bandgap (eV) 3.26 3.39 1.1 1.4Critical 3 3.3 0.3 0.4field (MV/cm)

4H-SiC GaN Si GaAs

SiC material properties

SiC’s properties mean that devices basedon this material operate at higher currentdensities and temperatures.

Page 24: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 200522

COVER STORYHIGH-POWER DIODE LASERS

Manufacturers of laser diodes areincreasingly targeting the indus-trial-laser market as relatively new

laser designs such as fiber and thin-disk archi-tectures gain greater acceptance. These newdesigns (see box) rely on optical pumping bydiode emitters, and are regarded as primegrowth areas in the industrial-laser market.

According to Strategies Unlimited analystBob Steele, the market for diode lasers usedin industrial applications dipped 13% in 2004,with rising unit shipments more than offset bydeclining prices. Despite this, the industrialmarket for diodes is widely regarded as a goodlong-term prospect, with German companyJenoptik Diode Lab now building a 500 m2

facility for 3 inch GaAs wafer production inBerlin. And the acquisition of diode-pumped-laser-system maker Lightwave Electronics byJDS Uniphase in March this year demon-strates the latter’s commitment to becominga bigger player on the industrial-laser scene.

Major industrial-laser OEMs such as Ger-many’s Trumpf and Rofin-Sinar are increas-ingly looking to improve their products, whichare used in applications as diverse as shapingmedical devices and welding car-body parts.Predictably, these laser-system builders wantmore power from diodes and a reduction inthe price per watt delivered. The cost issue isparticularly sensitive, as it’s estimated that thediodes in a laser system account for one-thirdof the overall system cost.

More watts per dollarOne way to improve the dollar-per-watt met-ric is to raise the efficiency of individual emit-ters. As well as increasing the overall poweroutput of any laser system based on diode-pumped crystals or direct-diode emission, italso improves reliability.

In fact, this added benefit is arguably ofgreater importance than increased power out-put. This is because improvements in diodeefficiency mean that less heat is produced per

High-power diode-laser manufacturers are now implementing new processes developed undervarious research programs in volume device production, as Michael Hatcher discovers.

Efficiency drive deliversgreater laser reliability

JDS Uniphase, the leading supplier of 980nm pump laser diodes, now manufactures all ofits lasers and photodiode detectors at its only remaining III–V fab in San Jose.

JDS

UN

IPH

AS

E

Alfalight’s 2 inch GaAs wafers set in a graphite susceptor are ready for MOCVD growth.

ALF

ALI

GH

T

Page 25: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

23COMPOUND SEMICONDUCTOR JUNE 2005

COVER STORYcompoundsemiconductor.net

HIGH-POWER DIODE LASERS

device. And excess heat is a killer for laserdiodes. Toby Strite, the manager of high-powerdiode-laser marketing at JDS Uniphase, saysthat as a rule of thumb the lifetime of a diodeis cut by two-thirds for every 20° temperatureincrease in the diode junction.

So while there has been an understandablefocus on achievements made in pushing diodepower conversion efficiency (PCE) to newhighs in excess of 65% from many companies,especially those working under DARPA’ssuper-high-efficiency diode sources (SHEDS)program, it perhaps makes more sense to lookat the progress made in a different way: “Wewere wasting 50% [of the power input] inheat, and now we’re only wasting 35%,” ishow Strite likes to think about it. “The rela-tive [reduction] in waste-heat generation out-strips the PCE enhancement.”

Under the SHEDS program, JDS Uniphasehas taken two approaches to improve diodeperformance, both of which Strite says can be“productized”. The first is in the managementof the optical field, where the aim is to reducethe impact of dopant absorption. This can bedone by changing the vertical structure of thediode – either depressing the mode downward

into the wafer, or shifting it up toward the wafersurface – using optical engineering. In JDSUniphase’s developmental diodes, the centerof the laser mode is also directed away fromthe most highly absorbing regions of the dopedsemiconductor.

Some of those refinements to diode designare now flowing back into JDS Uniphase’sproduct pipeline, with prototyping currentlyin progress. Once commercialized, thedevices will be manufactured at the firm’s oneremaining compound semiconductor waferfab in San Jose, CA, before being shipped outalong with telecom lasers for packaging in thecompany’s China facility.

JDS Uniphase’s “L-3” diode laser, whichemits 5 W into 100 µm core, 0.22 numericalaperture fiber, has been shipping from Chinafor a year. A6.5 W version of the device is setto be released next month, with 8 and 10 Wemitters to follow.

Made in ChinaA“made in China” stamp on JDS Uniphase’sproducts presents an obvious advantage.“We’ve actually commoditized the industrial[diode] laser market by virtue of that low-cost

structure,” said Strite. The diode-laser demandfrom telecom applications is sufficient to sup-port the Chinese packaging base, and theindustrial side of JDS Uniphase’s business canexploit the same manufacturing infrastructure.

While JDS Uniphase is best known for itstelecom products, Strite believes that the rel-ative maturation of this industry means that itis now the industrial-laser business that offersmore scope for growth. “Essentially, there’sno longer a demand for a bigger, better 980nmpump laser,” he explained. “It’s a little bit likeIntel’s problem, in that [once it had made] a1 GHz chip, there wasn’t really a need for a2 GHz one, so they went into things like wire-less connectivity instead.”

According to Strite, the design of JDSUniphase’s single emitters provides the idealcombination of power coupled with reliabil-ity. Reliability requirements in the telecommarket are demanding, and with innovationssuch as passivated mirrors, JDS Uniphase’sdevices come rated with a mean time to fail-ure of anywhere between 100,000 and 2 mil-lion hours, depending on the application.

JDS Uniphase has its own fiber laser busi-ness and this type of laser design complements

So-called diode-pumped solid-state lasers (DPSSLs) are amainstay of the industrial-laser-system market today. Based ona rod-shaped yttrium aluminumgarnet (YAG) crystal, usuallydoped with neodymium, theemission from an array of diodebars is focused on the crystal toproduce a powerful pulsed orcontinuous-wave beam,suitable for applications such ascutting and welding all sorts ofmaterials. The conversionefficiency of diode emission intocrystal-laser emission varies,but is generally less than 20%.

One cloud that has hung overthe diode-pumped-laserindustry is the experience ofVolkswagen. The German carmaker switched to DPSSLs, butproblems with reliability forced itto revert back to flashlamp-pumped lasers. The downsideof the older technology is thatflashlamps devour huge

amounts of energy, soVolkswagen needed to build aseparate power plant simply tomeet the energy requirement.

But after lots of initial worriesover reliability, DPSSLs are nowwidely established in industrialmaterials processing. In recentyears two new architectureshave emerged to challengeconventional DPSSLs. Themore advanced, in terms ofmarket penetration, is the thin-disk laser. Based on the sameprinciple as a normal DPSSL,the disk is essentially ashortened rod, no more than a

few millimeters thick (seephoto). Because it is smaller involume than a rod, the crystalgeometry needs moreconcentrated doping toproduce a high output power,and so the YAG crystal tends tobe doped with ytterbium. Theresult is a slight shift in thewavelength of the output beam,but the benefits include muchsmaller industrial-laser systemsand, importantly, animprovement in the beamquality that is delivered.

Beam quality is a criticalissue, and the more circularbeam delivered by a disk lasermeans that it can be used to cutor weld materials with lesspower than would be requiredwith a conventional DPSSL. Italso means that the workingdistance between the part beingmanufactured and the lasersystem can be increased, andthis in turn means that parts can

be machined at a higher speed.“There can never be enoughbeam quality!” is how Toby Striteof JDS Uniphase puts it.Another benefit of the thin-diskgeometry is that at around 30%,the conversion efficiency fromdiode to crystal is higher than fora conventional DPSSL.

The fiber-laser concept takesthe geometry of the lasermedium a step further by usinga doped optical fiber in place ofthe rod- or disk-shaped crystal.The key benefits are, again,better beam quality andconversion efficiency, with thelatter reaching about 50%.

Crucially for diode-lasermanufacturers, the fiber and diskarchitectures are only compatiblewith diode-pumping, whereasconventional DPSSLs can alsobe powered using flashlamps.So any market penetration by thenewer designs is good for diodemanufacturers.

High-power lasers: rods, disks and fibers

Page 27: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

25COMPOUND SEMICONDUCTOR JUNE 2005

COVER STORYcompoundsemiconductor.net

the company’s high-power single emitters.“Imagine a 100 W fiber laser,” said Strite.“This will require about 200 W of diodepower. To do that with bars, you need four barsrated at 50 W each. If one of those bars failsyou’re a dead duck, so you’ve got to add someredundancy to the system with extra bars.”

But simply adding one extra bar will not besufficient, explained Strite: “A typical bar israted at 20,000 hours mean time to failure. Ifyou have five in your system, you haven’tguaranteed that the system will run for fiveyears continuously. So you need six or sevenbars, and that’s a huge incremental expense.”

The advantage with ultrareliable singleemitters, says Strite, is that you’d deploy per-haps 50 5W L-3s to power a 100W fiber laser,and that 20% added system-redundancy costis affordable. “That’s why we’re really mak-ing some hay in the low-to-mid-power solid-state-laser market,” said Strite. “Some day afiber laser producing 4 kW will be out thereand then you will be able to enjoy bareconomies of scale.”

Clearly, concentrating on the fiber-lasermarket plays to JDS Uniphase’s strengths andexperience in the fiber-optic communicationssector, where it is the leading manufacturer of980nm pump lasers. However, Strite says thatthe other markets also present the firm with anopportunity. “We could bring to market a barthat’s four times as powerful [as a competitor’sproduct] and are currently in discussion withcustomers willing to pay only twice as muchfor the technology.”

Alfalight readies new processesLike JDS Uniphase, Alfalight is now lookingat how to implement advances in diode struc-ture in its commercial products. Throughincremental improvements, Alfalight’s bestresearch effort has produced a 976 nm devicewith 73% PCE. According to the company’svice-president of research and developmentManoj Kanskar, the SHEDS-inspired reduc-tions in diode turn-on voltage, free-carrierabsorption and Joule-effect heating will beimplemented in the production environmentfirst, since they are not expected to have anynegative effect on device reliability.

Secondary improvements will follow oncesufficient lifetime-testing data have been col-lected. So far, Alfalight says it has demon-strated 797, 808 and 915 nm laser bars witha peak PCE of 66% by introducing the initialchanges to its production process.

However, the SHEDS program calls for thedemonstration of diodes with 80% PCE. JDS

Uniphase and Alfalight are taking differentapproaches to meeting the target. Stritebelieves that JDS Uniphase can get close tothe 80% figure with practical, commercial-izable technology. Meanwhile, Kanskar is ofthe opinion that while Alfalight could push alittle higher than its current best of 73% withsimilar efforts, some revolutionary technol-ogy will be needed to hit 80%. Critically,

Kanskar says, reducing the laser thresholdcurrent will require a radical approach.

That revolutionary technology could comein the form of quantum-dot structures or bygrowing quantum wells in a different crystalorientation, both of which Alfalight is work-ing on. “Quantum dots is a high-risk, high-pay-off method,” admitted Kanskar. “But bygrowing the quantum wells in the 110 direc-tion, where the electric field is in line with thedipole moment, we expect a two-fold enhance-ment in gain, and a reduced [lasing] threshold.”

Quantum goalThe problem with current quantum-dot gainmedia, says Kanskar, is that these structuresrequire 7–10 layers of nanostructures to pro-duce sufficient gain, and the overall diode effi-ciency suffers from a high lasing threshold.“Our goal is to make a single-layer [quantum-dot] gain medium with a much higher densityand uniformity, and that’s what we’re work-ing on to reduce the threshold current.”

So far, Kanskar and colleagues have madequantum-dot lasers in aluminum-free high-bandgap material, without incorporating thewetting layer that most developers have used.

In the laboratory, Kanskar and colleagueshave also grown quantum wells in the 110 ori-entation, and he says that the photolumines-cence of these devices is much higher than thatof conventional diodes. “The challenge is thatthe growth temperature required is signifi-cantly different,” he said.

A separate development that Alfalight hasbeen working on under a US Air ForceResearch Laboratory project could lead to pre-cision pumping applications of diode lasers,and also have benefits in terms of wafer yields.The goal is to wavelength-stabilize a multi-mode diode laser, and the company has begunimplementing a new process to do this. Byusing holographically defined gratings andwavelength-dependent feedback, the wave-length drift is effectively limited to less than0.065 nm/°C instead of the usual 0.32 nm/°C.This means that lasing can be tuned to within± 0.2 nm, and so a larger proportion of the dieon the wafer can be used.

Currently, a wavelength tolerance of around± 3 nm is required to get a high device yield,but with customers increasingly demandingtighter tolerances, that yield can take a big hit.“I think we’re going to see some huge bene-fits,” said Kanskar, who adds that because theholography step is a wafer-level process, theper-device added cost of incorporating theextra manufacturing step is tiny.

HIGH-POWER DIODE LASERS

JDS Uniphase’s 6.5W version of the L-3package is due to be released in July, while8 and 10W emitters are scheduled to follow.

JDS

UN

IPH

AS

E

All of JDS Uniphase’s lasers, includingthose destined for industrial-laserapplications, are now packaged at itsassembly plant in Shenzhen, China, whichprovides obvious cost benefits.

JDS

UN

IPH

AS

E

Alaflight’s grating-stabilized laser bar showsa typical incoherent array of broad-arealasers. A single emitter from the bar showsthe emitting region with a beam emergingand a holographically defined grating that isused for wavelength stabilization.

Page 29: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

LED MANUFACTURING compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 2005 27

Manufacturers are wary overpush for larger substrates

LED performance improvements andprice cuts have driven the significantgrowth of the LED industry over the last

decade. However, according to Jed Dorshei-mer – an analyst at investment bank AdamHarkness who covers the LED business exten-sively – the cost per lumen remains 10 timestoo high to penetrate the general lighting mar-ket. Dorsheimer thinks that significant reduc-tions in the cost per lumen are possible, but onlyif manufacturers move to larger substrates,device performance improves and more part-nerships are established between major inter-national LED manufacturers, such as Nichiaand Cree, and low-cost producers in Asia.

While Cree has already switched much ofits LED output to a 3 inch SiC line, Honey-well, a supplier of sapphire substrates forGaN-based epitaxy, released 100 mm diam-eter substrates in the fall of 2004 to comple-ment its 2 and 3 inch material. According toDavid Reid, Honeywell’s product line man-ager for the sapphire group, larger substratesreduce production costs through more effi-cient use of gas, and decrease the overallsubstrate-handling time. And sapphire expen-diture is important, explains Reid, because itis second only to ammonia when it comes toLED chip manufacturing costs.

Bigger is betterReid thinks that 100 mm sapphire substrateswill have the greatest appeal to manufactur-ers of high-brightness devices that use largedie areas of typically 300 × 300 µm and1 × 1 mm. Although the cost per square inchof the 100 mm sapphire substrates is currentlyhigher than that of equivalent 3 inch material,he predicts that the price of his 100 mm prod-ucts will soon fall. The firm’s 100 mm sub-strates are already attracting some interest,with several LED manufacturers conduct-ing trials to verify that their production line issuitable for larger wafers, Reid says.

He believes that LED manufacturers are nowfar more concerned with the quality of the sub-strate, because poor-quality wafers increase

production costs through low device yields.Reid says that even small improvements aresignificant: a 5–10% yield increase translatesinto a 10–15% gain in revenue on an epiwaferand an equivalent drop in the cost per chip.

Honeywell evaluates its wafers by dis-cussing with its customers the influence ofits substrates on device performance. This is atime-consuming process because, accordingto Reid, the insularity of many manufacturersmeans that they are unwilling to divulge infor-mation as a group. Honeywell is thereforeforced to consult each LED manufacturer indi-vidually. Reid believes that substrate specifi-cations are increasingly reflecting customerneeds, with a greater focus on the effect ofwarp and bow on LED performance.

Asignificant proportion of Honeywell’s sap-phire substrates are sold to LED makers inTaiwan, a region of the world that Dorsheimerhighlights as being critical to reducing the LEDcost per lumen. The company started shippinglarge numbers of wafers to Taiwan in 1998,and since then a collection of start-up firmshave become high-volume manufacturers.

Although dramatic growth was seen upuntil 2004, additional expansion was put onhold following a recent slow down in activ-ity. Despite the decline in growth of Taiwan’sLED industry, and the saturation of lower-brightness LED production, Reid believes thatTaiwanese manufacturers will still take aninterest in Honeywell’s 100 mm substrates.“They need to improve their product mix,” he

One of the ways to cut chip costs is to transfer manufacturing to larger substrates. Is the LED-manufacturing industry in Taiwan ready for such a change? Richard Stevenson investigates.

Honeywell hopes that its recently released 100 mm sapphire substrates will appeal to LEDmanufacturers that are looking to cut their overall production costs.

HO

NE

YW

ELL

Page 30: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

LED MANUFACTURING compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 200528

said, adding that some manufacturers inTaiwan are already moving toward produc-tion of the higher-brightness devices that hebelieves should benefit the most from largersubstrates. However, Reid acknowledges thatmany manufacturers in Taiwan still use oldreactors and 2 inch substrates.

Arima Optoelectronics is one such firm thatuses 2 inch sapphire substrates for the major-ity of its LED production. The company pro-duces ultrahigh-brightness InGaN andAlGaInP LED chips, as well as laser diodes,but does not package the devices. Its LEDchips have contributed to a little more than halfof the company’s revenue, which rose from$67 million in 2003, to $85 million in 2004,and is projected to hit $100 million this year.

Arima president Pei-Jih Wang disagreeswith Reid: “Right now I don’t see any advan-tage for using the bigger sizes of sapphire.”He believes that substrate manufacturersencouraging migration to larger substrates tomirror progress made in the silicon and GaAsindustries fail to take into account the relativeimmaturity of the LED business. Accordingto Wang, the vagaries of MOCVD dictate that

the input of skilled engineers is essential tomaintain consistent products. He also believesthat improvements to wafer yields will bemore important than increasing substratesizes. In addition, he thinks that Taiwan’s LEDmanufacturing industry is not set up for the100 mm material, and that the expense ofimplementing these changes would not becompensated by any ensuing cost savings.

Wang is more interested in building rela-tionships with major international high-brightness-LED makers, and believes that thelow-cost manufacturing offered in Taiwanwill be essential for the growth of global LEDsales to continue. He says that although Osramhas licensed its phosphor technology to sev-eral Taiwanese companies, he doesn’t expectother major players, such as Nichia, ToyodaGosei and Cree, to work with more than oneor two companies at most, because of worriesover illegal sharing of their technology.

If the cost per lumen of high-brightnessLEDs falls significantly, everyone associatedwith the industry will benefit as the technol-ogy penetrates general lighting applications.As yet it is not clear how this will happen.

A large fall in the cost of LED production isrequired if future sales are to be dominatedby general lighting applications instead oftoday’s major revenue provider, cell phones.

NO

KIA

With a cohesive and complete team of professionals, Bandwidth Semiconductor continues to growin today's marketplace. We operate a complete compound semiconductor growth & device fabricationline housed in a 13,500 sq. ft. class 100/1000 cleanroom.

BANDWIDTH SEMICONDUCTOR, LLC25 Sagamore Park Rd., Hudson, NH 03051

www.bandwidthsemi.com(603) 595-8900

Epitaxial and Foundry Inquiries: [email protected] M/F/D/V

Epitaxial Services MOCVD Experts – 20 Years ExperienceOur extensive MOCVD experience and capacity enable us to grow a wide range ofGaAs and InP epitaxial structures to our ownor to customer's designs.

• GaAs and InP-based materials• 2", 3", 4" Custom Epi-Wafer Capability• Excellent Uniformity & Reproducibility• Production & Development Quantities

Foundry ServicesWe design the process steps and conditions tomeet the desired device characteristics andimplement the process in our fab. Processequipment includes:

• PECVD • Metallization • Wet & Dry Etch• Photolithography • Dielectric & Optical Thin Film Deposition• Wafer Polishing, Lapping, Dicing & Cleaving

The leading publication in compound semiconductors

SUBSCRIBE ONLINE FREE OF CHARGECompound Semiconductor magazine provides in-depth, timely information on current developments within the compound semiconductor industry. Coverage includes manufacturing and research, devices and materials, markets and applications,and all aspects of compound semiconductor technology.

Subscriptions are available FREE OF CHARGE to qualifying individuals atcompoundsemiconductor.net/subscribe/free/cs

Page 31: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

BACK-END PROCESSING compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 2005 29

Water-guided laser speedsup rate of SiC-wafer dicing

Silicon carbide (SiC) is a wide-bandgapsemiconductor offering many advan-tages over common silicon for power

devices, as it can be doped to a much higherlevel to achieve a specific blocking voltage.As a result, SiC is an attractive solution formany carrier devices, such as Schottky diodes,extending their voltage operation to more than3000 V. SiC devices are also able to withstandhigh temperatures.

These new power devices based on SiC diceare produced from 2 and 3 inch wafers.Today’s most common tools for SiC-waferdicing are diamond blades or tips, and theseare what many device manufacturers use intheir SiC fabs currently. However, becauseSiC is such a hard material – very nearly ashard as diamond – problems arise when usingmechanical wafer-dicing techniques.

Blade wearOne important issue is blade wear, which forSiC is approximately 100 to 500 times as highas that for silicon. Where nearly 3 km of sili-con can be cut with one diamond blade, only10 m of SiC can be processed.

Additionally, the sawing blade may occa-sionally be damaged. This is because at thebeginning of each new dicing line the bladepenetrates the wafer edge at a sharp angle,which can cause the blade to break. Thesmaller the wafer diameter, the more likelyit is that the blade is damaged or breaks.

Figure 1 shows a SiC wafer diced with stan-dard diamond sawing equipment. Along thekerf, slight chipping can be seen due tomechanical effects caused by the blade.Additionally, the wall of the wafer has suf-fered from blade loading.

Although conventional lasers emitting inthe ultraviolet (UV), green and infrared havebeen used to cut SiC, they are not idealbecause the machining process tends to causeheat damage and particle contamination.

Lasers typically remove material by a melt-ing, vaporization or ablation mechanism, allof which tend to generate a heat-affected zonein the target material. Additionally, moltenmaterial is not always completely removedduring cutting by the assist gas, which isdirected co-axially with the laser beam, and

this can result in droplets being deposited onthe surface of the wafer.

The results of tests performed by Infineonin collaboration with a UV-laser manufacturerwere inconclusive (see figure 2). The condi-tions for these tests were standard for the dryUV-laser cutting of SiC. Along the kerf, the

The sheer hardness of silicon carbide means that dicing wafers that are based on the material givesdevice manufacturers a big headache. Now, a novel laser technique – that uses a water jet to coolthe material between pulses of the laser – developed by Swiss company Synova may offer asolution, as Infineon Technologies engineers have discovered.

Fig. 4: (Above and below) Synova’s water-jet-guided laser can cut through a 380µmthick SiC wafer.

Fig. 1: This Infineon SiC wafer, diced with anabrasive saw, shows slight chipping alongthe kerf on the front side (left image) andblade loading on the wall of the wafer(right). The kerf width is 45µm.

Fig. 2: Processed with an ultraviolet laserthat had not been optimized for cutting, thisSiC wafer shows burning effects along thekerf (left) and wall (right).

Fig. 3: The water-jet-guided laser does notburn or chip the SiC wafer. There is lesscontamination compared with dry lasercutting, and non-adhering particles can beremoved using a standard cleaning process.

Figures 1 to 4 show structured SiC substratewafers. They were made specifically fortesting the dicing equipment, so theresulting devices have not been electricallytested. No layer was present in the streets.

Page 33: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

31COMPOUND SEMICONDUCTOR JUNE 2005

compoundsemiconductor.net BACK-END PROCESSING

material has been burned and many particlesand burrs are visible on the front side of thewafer, as no protective layer (such as a pho-toresist) has been used. The wall of the waferalso shows side burning, while more than 10passes of the laser were necessary to cutthrough the wafer completely.

Although the output of the UV laser had notbeen optimized for cutting, further tests werenot carried out because of the poor-quality dic-ing achieved. Indeed, the possible improve-ments that may have resulted from laseroptimization are not expected to have beensufficient to produce high-quality cutting,with no particle generation or heating effects.

Having concluded that neither abrasivesawing nor dry laser cutting are ideal solutionsfor SiC-wafer dicing, Infineon decided to testthe feasibility of a different laser-basedprocess, featuring a high-power beam guidedby a narrow jet of water.

Water-guided laserThe basic principle of the water-jet-guidedlaser involves focusing a laser beam into anozzle while passing it through a pressurizedwater chamber. The water jet emitted from thenozzle guides the laser beam by means of totalinternal reflection that takes place at thewater–air interface, in a manner similar toconventional glass fibers. Thus, the water jetcan be thought of as a fluid optical waveguideof adjustable length.

One of the major differences of this tech-nology compared with conventional laser dic-ing is that there is no thermal damage. This isbecause the water jet cools the materialbetween laser pulses. Another advantage isthat the water jet removes molten materialduring the cutting process, which reduces con-tamination. Awater film is maintained on thesurface of the wafer while the laser cuts, act-ing as a protective layer and preventing par-ticle deposition.

As with other laser methods, the water-jet-guided technique does not generate mechan-ical damage, such as chipping or cracking,because it is a non-contact process. The forceapplied by the water jet is negligible (less than0.1N), as its diameter ranges from 75 to 25µmwith a pressure of between 50 and 500 bar.

Several laser-beam characteristics can beadapted to improve the cutting quality andspeed on each specific type of wafer. Forexample, to cut the 380 µm-thick SiC wafershown in figure 4, a pulsed, infrared laser(wavelength 1064 nm, average power 56 W)was combined with a 40 µm-diameter jet.

Although SiC dicing dominates today’schip production, the water-jet technique doesoffer several advantages.

First, the water-jet technique is faster thanmechanical methods, especially when pro-cessing thinner wafers. For example, for the380µm-thick wafer shown in figure 4, the cut-ting speed was improved by 40% comparedwith abrasive sawing. Second, laser methodscut down on the cost of ownership, since thereis no need to replace the diamond blade or saw.

Water consumption is also kept to a mini-mum, amounting to around 1 liter per hourat 300 bar water pressure. Finally, individualdevices are not weakened by the dicing step,as the process generates no thermal ormechanical damage to the wafer edge andwafer surface, although it should be noted thatSiC devices diced with this method have notbeen tested electrically. Ultimately, however,the laser tool should ensure higher yields andfaster wafer processing.

Benefits for SiC chipmakersThe water-jet-guided laser is expected to gen-erate substantial cost savings for SiC chipmanufacturers. In production, using the water-jet-guided laser for silicon cutting has reducedhourly running costs by about 45%. This ismainly because the blades do not have to bereplaced and there is also a reduced operatorcost. And, since SiC is a much harder mater-ial than silicon, causing more frequent bladereplacements, cost savings associated withthis material could be even greater.

Allowing a damage-free, clean and fast cut-ting process without expensive maintenancecosts associated with tool wear, the water-jet-guided laser holds great promise for next-gen-eration SiC-wafer dicing.

Further readingCompound Semiconductor January/February2004 p27.

Carsten von Koblinski and Gerald Lackner arewith Infineon Technologies; DelphinePerrottet, Max Wiki and BernoldRicherzhagen are with Synova.

Thomas SwanScientific Equipment

Thomas Swan Scientific Equipment LtdBuckingway Business ParkSwaveseyCambridge CB4 5FQ UK

t +44 (0)1223 519444f +44 (0)1223 519888e [email protected]

A member of the AIXTRON group of companies

• The Next Generation of an IndustryStandard: Epison 4 OM GasConcentration Analyser

• Improved accuracy, extended to lower concentration, better signal to noise ratio

• Optimised design – integrated ultrasonic cell and control unit

• DeviceNet and Profibus fieldbuscommunications for system integration

In production, usingthe water-jet-guidedlaser for silicon cutting has reducedhourly running costs by about 45%.

Page 34: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

M&E NEWS

COMPOUND SEMICONDUCTOR JUNE 200532

Researchers from the Institute ofSemiconductors at the ChineseAcademy of Sciences (ISCAS) inBeijing, China, have used aThomas Swan MOCVD reactorto produce a 410 nm laser diode.The device is claimed to be thefirst near UV laser diode to beproduced in mainland China.

The laser, fabricated on a GaN-on-sapphire template wafer, con-tained a five-period InGaN/GaNmultiquantum well active region,and an AlGaN/GaN superlattice

was used for the cladding layers.The 410 nm device operates in again-guided mode, and it has astrip width and length of 5µm and800 µm, respectively.

Epitaxial growth was con-ducted on a 3 × 2 inch (1 × 4 inch)Thomas Swan Close CoupledShowerhead MOCVD reactorthat was installed in ISCAS twoyears ago. The machine has beenprimarily used for growth ofshort-wavelength laser diodesand UV photodetectors.

PRODUCT SHOWCASETo advertise your product in this section, contact Dan Huckleon tel: +44 117 930 1033, or e-mail: [email protected]. Chinese institute makes

first near-UV laser diode

Non-ferrous metals producerDowa Mining intends to mass-produce GaN-on-sapphire wafers,says a report in Japanese news-paper Nihon Keizai Shimbun.

Dowa Mining, which is head-quartered in Tokyo, Japan, willwork with Nagoya Institute ofTechnology to develop thewafers. According to the report,some of the technology will alsobe provided by NGK Insulators.

Dowa is expected to investmore than ¥5 billion ($46 mil-lion) in the new venture and aimsto begin mass-production at thestart of fiscal 2007. The companyhopes that wafer sales will hit¥10 billion in fiscal 2008.

Dowa says that its GaN-on-sapphire business will notinfringe the patents of companiessuch as Nichia that are alreadyworking in this sector.

Dowa to develop GaN-on-sapphire substrates

Probe stationsLake Shore Cryotronics, IncLake Shore offers both cryogenic and superconducting magnet-basedprobe stations. They can be used for DC, RF, microwave, and magneto-transport measurements on devices and wafers. Features includetemperatures from 1.5 to 475 K, 1 T vertical- or horizontal-field

superconducting magnets, up to 6 ultrastablemicromanipulated stages, and up to 4 inch waferprobe capabilities. A wide selection of probes,cables, sample holders, and options make itpossible to configure a system to meet your specificmeasurement applications.

Contact: Lake Shore CryotronicsTel: +1 614 891 2244E-mail: [email protected]: www.lakeshore.com

EpiCurve LayTec GmbHThrough co-operation with the University of Magdeburg, Germany, LayTec hasdeveloped a new in situ curvature sensor for production-line MOCVD: EpiCurve.EpiCurve allows real-time measurements of wafer curvature, emissivity-correctedwafer temperature and double-wavelength reflectance used for growth-rateanalysis! EpiCurve can be used in single- and multiwafer reactors and even withplanetary rotation, as well as on transparent and low-reflectance substrates. Itsunique combination of wafer-temperature and bowing measurements allows you totrack temperature deviations caused by wafer bowing and enables growthoptimization by minimizing bowing-related non-uniformities.

Contact: LayTec GmbH,Helmholtzstr. 13–14, D-10587 Berlin,GermanyTel: +49 30 39 80 08 00Fax: +49 30 31 80 82 37E-mail: [email protected]: www.laytec.de

Magneto-transport measurementsLake Shore Cryotronics, IncHall effect measurements on dilute magnetic semiconductors andcompound semiconductors. Resistance ranges from 10 µΩ to 200 GΩ,fields to 9 T, and temperatures from 2 to 800 K. Quantitative MobilitySpectrum Analysis software resolves individual carrier mobilities anddensities for multicarrier devices and compound semiconductors.Anomalous Hall Effect measurements for spintronics and an ACcurrent option measures resistance down to 10 µΩ, and increasesresolution to 10 ppm at 2 mΩ.

Contact: Lake Shore CryotronicsTel: +1 614 891 2244E-mail: [email protected]: www.lakeshore.com

Sandia National Laboratoriesin Albuquerque, NM, haspurchased a GEN20A MBEsystem from VeecoInstruments. The depositiontool, which will be installed inthe US Department of Energy’sCenter for IntegratedNanotechnologies, will be usedfor the growth of terahertzquantum cascade lasers. Toshiba has installed one ofAccent Optical Technologies’Vektor X-ray diffraction toolsin its DVD laser manufacturingfacility in Kitakyushu. “TheVektor double-crystal has the

best performance for a massproduction environment, evenin comparison with the latestfour-crystal systems,” saidToshiba in a statement. Unaxis Wafer Processing isto supply the Korea AdvancedNano Fab Center (KANC) withsix of its Versaline plasmaprocess tools for dry etchingapplications. Unaxis says thatthe large order from KANC,which is housed at the KoreanSmall Business Center inSuwon, Gyeonggi, brings thetotal number of systemsordered since last year to 30.

In brief

Page 35: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

www.compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 2005 33

PORTFOLIO

There’s no doubting the optimism surrounding theLED industry currently, which has continued toshow the strongest growth of all compound

semiconductor applications.Add to that the continuing growth in the cell-phone

sector, which recently received a further boost fromNokia’s upwards projection for global sales of handsetsin 2005, and something of a bounce in the market forfiber-optic components, and it should culminate in ahealthy market for equipment vendors.

But that optimism isn’t yet translating into increasedorders for MOCVD and MBE machines, it seems. InAixtron’s recently published annual report, the Germancompany’s chairman, Paul Hyland, expresses fears that2005 will be another tough year, citing predictions byleading economists expecting slowing growth in theworld’s major economies.

Other macro-economic effects, particularly a con-tinuing weakness in the US dollar, will also have a neg-ative effect on Aixtron’s business overall, while thecompany now says that it expects to record a net loss in2005 as it accounts for the acquisition of atomic-layer-deposition (ALD) specialist Genus and integrates thisbusiness with Aixtron’s existing silicon division.

Now reporting its sales figures quarterly, with itsshares listed on the NASDAQ as well as the Frankfurtexchange, Aixtron says that low levels of customerspending on capital equipment affected its revenue inthe seasonally weak first quarter. At 722.2 million($28.1 million), sales were down on the 726.6 millionfigure posted in the equivalent period last year.

Aixtron predicts annual lossBased on expected revenue of 7160 million–170 mil-lion for 2005 overall, the Aachen-based firm believesthat it will make a 710 million–15 million loss for theyear. However, that loss will include charges related tothe Genus acquisition, with ongoing MOCVD opera-tions expected to break even.

And despite providing a fairly gloomy picture over-all, Aixtron believes that it has taken market share awayfrom Veeco, whose TurboDisc division provides thecompetition in the MOCVD market.

While the future markets of GaN lasers for next-gen-eration DVD, and high-brightness LED chips for large-scale LCD backlights will no doubt become big revenuedrivers for Aixtron and Veeco eventually, the precisetiming of any surge in equipment sales remains unclear.

Feeling the effect of the marketVeeco looks to have resolved many of the problemsrelating to the TurboDisc division’s finances, and reg-istered sales of $22.5 million in the HB-LED and wire-less markets in its first quarter, which ended March 31.Orders for epitaxy equipment in that period amountedto $13.6 million, versus Aixtron’s overall first-quarterorders of 722.7 million. Around 80% of Aixtron rev-enue typically comes from sales to LED chip manu-facturers (the figure was 81% for fiscal year 2004).

While Veeco can rely on its other business sectors tobolster its overall profitability – the company is expect-ing increased sales in the data-storage industry to bal-ance out weaker epitaxial equipment sales – and Aixtrontoughs out the slow-down in orders from Asia-basedLED manufacturers, Riber looks to be feeling the effectsof the weak market demand more acutely.

The France-based MBE equipment vendor is relyingheavily on sales to the research-machine sector. The sea-sonality of this business meant that no Riber machineswere delivered in the quarter that ended March 31.

Two orders for Riber’s Compact 21 machines werereceived, however, and the company’s order book –now totaling 79.6 million – is 10% fuller than it wasone year ago. But with no major upturn in the produc-tion-machine market envisaged, Riber has decided tocut its losses with a 24% reduction in workforce and arefocused development program. The company is alsoselling off one of its production sites.

Equipment vendors tough it outDespite renewed optimism in fiber-optic communications and the prospect of important new LED andlaser applications, deposition-equipment suppliers still face a difficult market, with the share prices ofVeeco, Aixtron and Riber all falling significantly in the past three months.

Aixtron Frankfurt/NASDAQ 28.1 (–17) 22.7 72.52 (2.36–6.22)

Riber Paris 1.26 (–23) 12.1 70.71 (0.59–1.59)

Veeco** NASDAQ 22.5 (n/a) 13.6 $15.10 (12.83–26.48)

* Currency conversion as of 17 May ** Veeco’s figures relate to its quoted HB-LED/wireless sales

Company Exchange Quarterly revenue ($ m)* Order book ($ m) Share price May 24(% change, year on year) (52-week range)

Deposition equipment suppliers: March quarterly results

Page 36: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

PORTFOLIO www.compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 200534

Such drastic cuts have appeared inevitable sinceRiber made a loss of 711.6 million on sales of713.5 million in fiscal year 2004. Badly affected by theweakened US dollar as well as the stagnant market forits machines, there is only expected to be a steadyimprovement in overall sales this year.

Other suppliers of process equipment are also see-ing weak demand, although, on a more positive note,

Unaxis recently registered the sale of six Versalineprocess tools to the Korea Advanced Nano Fab Center.

STSystems’chairman, Nigel Randall, describes 2004as “another difficult year” in the UK firm’s latest tradingreport, with sales to the photonics and wireless industriesamounting to less than 10% of its £14million ($25.8mil-lion) revenue in the year. In 2003, these two marketsaccounted for 30% of ST Systems’ sales. However,Randall concludes that trading in 2005 would at least bean improvement on 2004, citing evidence of an increas-ing number of enquiries for its products.

Other equipment suppliersAnd UK-based Trikon Technologies, which should soonmerge with ALD equipment supplier Aviza Technology,reported a 15% year-on-year increase in revenue for thequarter ended March 31. Its sales of $7.8 millionincluded a license revenue of $2 million attributed toa joint-development agreement with Aviza that is sep-arate to the merger deal.

Trikon CEO John Macneil sounds a positive note on2005, saying that shipments in the quarter are at thehighest level seen since late 2001. “I believe that thislevel of shipments, which includes two evaluation sys-tems to major customers in Asia, is a strong indicatorof revenue growth in 2005,” said Macneil.

This shows the relative performance of Aixtron and Veeco shares versus theNASDAQ composite over the past year. All of the companies that supply material-deposition equipment have seen a sharp a fall in their share value since January.

Jul 2004 Sep 2004 Nov 2004 Jan 2005 Mar 2005 May 2005

40

20

0

–20

–40

–60

Veeco

Aixtron

NASDAQ

month

rela

tive

shar

e pr

ice

(%)

SO

UR

CE

: YA

HO

O F

INA

NC

E

Page 37: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

MATERIALS AND GASES

Materials and Gases Suppliers Guide

Company name Web address Telephone number Contact

Dielectric materials

Group V gases (arsine, phosphine,am

monia)

High-purity m

etals/solid MBE sources

Metal–organic chem

icals

Other process gases and chemicals

Other semiconductor m

aterials

Exhaust-gas managem

ent (scrubbers,abatem

ent etc)

Gas delivery (flow controllers, valves

etc)

Other gas-handling equipment

Gas monitoring

Gas purification

Safety and containment

Air Products airproducts.com/electronics +1 610 481 2950 Barb Muskauski

BOC Edwards bocedwards.com/compoundsemiconductor +1 978 658 5410 Lisa Gagnon

IndiumCorporation indium.com +39 011 655 331 Claire Miko MKS Instruments,Inc mksinst.com +1 478 284 4000 Lisa Robillard

Praxair Electronics praxair.com/electronics +1 845 398 8227 German Guerrero Rohm and HaasElectronic Materials metalorganics.com +1 978 557 1700 Egbert Woelk

If you would like to advertise in future issues, contact Luke Neel (tel: +44 117 930 1033, fax: +44 117 920 0809, e-mail: [email protected]).

SUPPLIERS GUIDE

Page 38: HIGH-POWER LASER DIODES Efficiency drive set to boost laser reliabilitydownload.iop.org/cs/cs_11_05.pdf · 2006-06-29 · Efficiency drive set to boost laser reliability SiC chips

compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JUNE 200536

By Richard Stevenson in Glasgow, UKSubstantial progress in quantum-cascade-laser (QCL) research wasreflected in the content of the presentations delivered at the IndiumPhosphide and Related Materials conference held in Glasgow, UK, inmid-May. Developments included fabrication of high-performanceinjectorless QCLs, faster laser growth by using MOCVD instead ofMBE, and the use of alternative material systems to target the atmos-pheric window that exists at 3–5 µm.

Andrea Friedrich from the Walter Schottky Institute in Garching,Germany, outlined the efforts of an all-German collaboration thatclaims to have delivered a three-fold improvement in the thresholdcurrent of injectorless QCLs. This means that the devices are compar-able to conventional QCLs. According to Friedrich, injector regionshave often been considered as an essential part of the device, as theyact as electron reservoirs and provide electron transfer between theactive sections. However, the downside of the injector regions isthat they contain slightly absorbing material, which decreases deviceefficiency. Up until now, though, Friedrich says that researchers havebeen unable to unlock the benefits of injectorless QCLs, as operat-ing temperatures have been restricted to below 200 K.

Friedrich and co-workers fabricated their QCLs, which contain60 periods of strain-compensating pairs of Al0.56In0.44As andGa0.4In0.6As layers, by MBE growth on an InP substrate. Photo-lithography and wet chemical etching defined the ridge-waveguidelaser dimensions to give stripe widths of 22–30 µm. As expected,the device’s emission was strongly temperature dependent, varyingfrom 10 µm at 77 K to 8.4 µm at 300 K. Its best performance wasobtained with 30µm stripe-width QCLs mounted on copper heatsinks;for pulse-mode operation consisting of 250 ns pulses and a repetitionfrequency of 250 Hz the maximum operating temperature was 350 K,and the associated threshold current density was 4.3 kA/cm2.

Slashing reactor growth timesThe development of MOCVD-grown QCLs by researchers at theUniversity of Sheffield and its National Centre for III-VTechnologieswas detailed by Dimitry Revin. According to Revin and colleagues,MOCVD should offer several advantages over MBE for QCL fabri-cation, including higher growth rates, easier reactor maintenance, andan established route for high-quality phosphide deposition.

The team, which also featured scientists from universities in Vienna,Austria, and Eindhoven, the Netherlands, produced lasers emitting at10.3 and 7.6 µm on InP substrates for the detection of ethane andmethane, respectively. With a growth rate of 0.8 monolayers per sec-ond (ML/s) for the active region, and one that is four times as fast forthe waveguide, Revin claimed that the MOCVD growth time of onlyfour hours was three times as fast as a typical MBE run.

Revin and colleagues verified process reproducibility by makingseven devices with emission spectra within 5 meV of each other. Thestructural quality and pulsed performance of the MOCVD-grown QCLswas “indistinguishable” from those produced by state-of-the-art MBE.

Revin gave a separate presentation describing an effort to developMBE-grown QCLs operating at 3–5 µm. To achieve emission at these

relatively short wavelengths demands material systems with large con-duction-band offsets that can be used to produce structures with verydeep quantum wells. Revin said that the most promising candidateswere QCLs based on In0.53Ga0.47As/AlAs0.56Sb0.44 and InAs/AlSb,which have conduction band offsets of 1.6 and 2.1 eV, respectively.

The researchers fabricated QCLs based on the latter material sys-tem, which lased in pulsed mode at 4.4 µm and 240 K. These deviceswere similar to structures that the group had previously investigated,but the n-type doping in the bridging region was increased from2.5 × 1017 to 8 × 1017 cm–3, an adjustment that is claimed to deliver sus-tained higher currents that lead to lasing. Revin and colleagues havealso observed emission at shorter wavelengths of around 3 µm, whichis believed to result from tunneling through barrier layers.

Cross-sectional tunneling microscopy showed that the Sb atoms areuniformly distributed in the barrier regions. According to Revin thismeans that the interface quality of these emitters is comparable to themore common InGaAs/InAlAs QCLs.

Increased spectral coverageAt Tohoku University, Japan, Hideo Ohno and Keita Ohtani have beenproducing QCLs operating in the mid-infrared region of 8–14 µm.Their lasers feature 20–50 periods of InAs/AsSb injector layers andInAs/Al0.2Ga0.8Sb active regions, which are 6–14 µm thick in total.With growth rates of 0.3 ML/s for InAs and 0.45 ML/s for AlSb andAlGaSb, leading to growth times of between 10 and 27 hours, theprocess highlights one of the downsides of the MBE approach.

For ridge-based lasers with a stripe width of 25 µm and a cavitylength of 1–3 mm, the best results were delivered by the 50-periodvariant, which emitted at around 10 µm and had a maximum operat-ing temperature of 305 K. At 80 K the laser threshold current was0.42kA/cm2, a value the researchers claim is one of the lowest reportedfor QCLs operating in the mid-infrared region.

Further readingCompound Semiconductor September 2004 p28.

Injectorless quantum-cascade lasersmake the grade at room temperature

Targeting one of the largest markets for QCLs – gas sensing – is UK-based Cascade Technologies. The company uses QCLs produced byAlpes Lasers, a spin-off from the University of Neuchâtel, Switzerland.

CA

SC

AD

E T

EC

HN

OLO

GIE

S

RESEARCH REVIEW: IPRM 2005