john o. borland, j.o.b. technologies, aiea, hi yoji kawasaki, renesas technology, itami, japan

69
J.O.B. Technologies (Strategic Marketing, Sales & Technology) 1 32nm Node USJ Formation Using Rapid Process Optimization Metrology & Updates From March MRS, May IWJT, May ECS, June IIT and June VLSI Sym John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan Brian Chung, KLA-Tencor, San Jose, CA Jeffri Halim, Frontier Semiconductor, San Jose, CA Solid State Technology July 2008

Upload: susane

Post on 05-Feb-2016

32 views

Category:

Documents


2 download

DESCRIPTION

32nm Node USJ Formation Using Rapid Process Optimization Metrology & Updates From March MRS, May IWJT, May ECS, June IIT and June VLSI Sym. John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan Brian Chung, KLA-Tencor, San Jose, CA - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

1

32nm Node USJ Formation Using Rapid Process Optimization

Metrology&

Updates From March MRS, May IWJT, May ECS, June IIT and June

VLSI Sym John O. Borland, J.O.B. Technologies, Aiea, HI

Yoji Kawasaki, Renesas Technology, Itami, JapanBrian Chung, KLA-Tencor, San Jose, CA

Jeffri Halim, Frontier Semiconductor, San Jose, CASolid State Technology July 2008

Page 2: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Outline• Introduction

– <10nm Ultra-Shallow Junction– Junction “Quality” (Rs dopant activation, junction leakage and

residual implant damage after annealing)– Micro-uniformity variation

• USJ Implantation• USJ Annealing• Process Integration Issues• FinFET Doping• Summary

J.O.B. Technology (Strategic Marketing, Sales & Technology)

2

Page 3: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Design For Manufacturing: Controlling Process Variability Key For sub-45nm Node Manufacturing!

T.C. Chen, IBM, IEEE Solid State Circuits Society Newsletter, Vol. 20, No. 3, Sept 2006, p.5

Delta Vt=>100mV (0.1V)!-Process proximity effects-Layout loading effects-Gate line edge roughness effects-Implant dopant positioning-Thermally induced variation by RTA (& msec anneal)Key will be Characterization, Reduction & Accommodation

Page 4: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

3 Main Sources Areas Of Device Leakage• Gate Leakage

– High-k/Metal Gate reduce gate leakage by >1,000x

• Source Drain Leakage– Engineer the location of EOR

damage to reduce junction leakage

• Gate Edge Leakage– Extension/HALO junction leakage

influenced by band to band tunneling, HALO dose, extension abruptness and shallow EOR damage

J.O.B. Technology (Strategic Marketing, Sales & Technology)

4

Surdeanu et al, Philips/IMEC, SSDM 2004, Sept. 04

Page 5: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Advanced USJ RequirementsC.-H. Jan et al., IEDM 2005, p.65• Minimize Dopant Diffusion

• Maximize electrical activation

• “Enough” defect annealing– Junction leakage: Small part of

total power, but significant for low power CMOS

– High channel/halo doping greatly increases leakage

• Need to optimize all 3 “dimensions”• Damage metrology:

– Traditional - TEM, devices– Non-Contact:

• RsL – RS & Junction Leakage• Thermal-wave

Rapid Process

Optimization

P. Timans et al., MRS 2008

Slow and costly!

Page 6: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

6MRS March 2008

32nm Node Xj= 8-20nm so B (150eV-600eV)!25 (IBM)

NO due to MSA micro-uniformity & unstable defects needs post diffusion-less spike/RTA!

Page 7: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

7

IMEC, VLSI Sym 2008, paper 19.1

(A/cm2)E-0

E-1

E-2

E-3

E-4

E-5

Page 8: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

8

Borland, IWJT 2008

E-2

E-4

E-6

Page 9: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

9

Spike

SPE:Si-PAI

SPE:Ge-PAI

Spike:RsL

Flash:RsL

SPE:Ge-PAI

Flash:Ge-PAI RsL

RsL Leakage Range

HALO Dose Effects On RsL & Diode Leakage

Borland, IWJT 2008

Page 10: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Outline• Introduction• USJ Implantation

– Single wafer implanter design– Elemental species (energy, dose)

• USJ Annealing• Process Integration Issues• FinFET Doping• Summary

J.O.B. Technology (Strategic Marketing, Sales & Technology)

10

Page 11: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technology (Strategic Marketing, Sales & Technology)

11

Kuroi & Kawasaki, USJ 2005

Page 12: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Greatest TW Change Is In Diagonal Scan!

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

12

Page 13: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

JOB/IMEC USJ Study

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

13

B 500eVTW=667

5keV Ge-PAI+BTW=1520

20keV Ge-PAI+BTW=2900

DSA 1210CTW=24

Stable DefectsRs=579

DSA+Levitor 900C Spike

TW=19Stable Defects

Rs=627

DSA 1210CTW=140

Unstable defectsRs=521

DSA+Levitor900 SpikeTW=130

Unstable DefectsRs=616

Page 14: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

MRS March 2008

Page 15: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Selete Demonstration of SDE Tilt

J.O.B. Technology (Strategic Marketing, Sales & Technology)

15

Ootsuka et al., IEEE Trans Electron Devices, April 2008, p.1042

~15%

Page 16: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

7% Improvement Due To Gate Overlap Control

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

16

Fujitsu, VLSI Sym 2008, paper 19.2

Page 17: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

Borland, Moroz, Wang, Maszara & Iwai, Solid State Technology, June 2003

A4 A2

A1

A3

(B) Terraced double SS/D formed by 45 degree twist quad-mode implant

(A) Terraced triple SS/D formed by 0 degree twist quad-mode implant

1&3, 2&4

2x dose4x dose

3x dose 1x dose4x dose

Sel. Epi

Sel. Poly Sel. PolySel. Poly

Use Selective Poly To Eliminate Facet IssuesB1

B2B3

B4

Sel. Poly

Sel. Epi

(A&B) Terraced penta SS/D formed by 0 & 45 degree twist octa (8)-mode implant

8x dose

1x dose5x dose

7x dose3x dose

SOI

SOI

SOI

Lateral Graded Single-S/D

Page 18: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technology (Strategic Marketing, Sales & Technology)

18

Gate OverLap Control: Tilt nSDE & PAI (0o-vs-30o)

Rp=3.7nmXj=14.7nmYj=0-3.3nmYj/Xj=0-0.22

Rp=3.3nmXj=15.3nmYj=8.3nmYj/Xj=0.54

Borland & Moroz, Semiconductor International, p.72, Apr. 03

BoxShape

Sin20o=0.34xSin30o=0.5xSin45o=0.71x

Page 19: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Future: Phosphorus Replacing Arsenic? (1keV/1E15/cm2, 0 & 30 Degree Tilt)

0o Tilt 30o Quad Tilt Ge-PAI & 30o Quad Tilt

Xj=12nmYj=3-5nmYj/Xj=0.42

Xj=13nmYj=7nm

Yj/Xj=0.53

Xj=9nmYj=7nm

Yj/Xj=0.78 V. Moroz, Synopsys (Mar. 03)

BoxShape

Page 20: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

• Annealing Companies– US

• Mattson fRTP• Applied laser DSA• UltraTech laser LSA

– Japan• DNS Flash lamp (FLA)

• Metrology For Rapid Process Optimization

• KT– Thermal-Probe (TW) for implant damage and

after anneal damage recovery (residual implant damage)

• Frontier– RsL sheet resistance and junction leakage

current

• Equipment Companies– US

• Varian (VIISta-HCS & -PLAD)– Carborane option

• Axcelis (Optima-HD)– Imax (molecular dopant)

• AIBT (i-Pulsar)– EC filter so >10/1 decel ratio with no energy contamination

• SemEquip (molecular dopant)– B18, C7, C14, P4, etc.

• TEL-Epion (infusion doping)– B2H6, GeH4

– Japan• SEN/Axcelis (SHX)

– 40/1 decel!• Nissin & SemEquip

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

20

Page 21: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Outline• Introduction• USJ Implantation• USJ Annealing

– Diffusion-less dopant activation and implant damage recovery– Spike+msec, msec+spike or msec+spike+msec annealing

sequences• Process Integration Issues• FinFET Doping• Summary

J.O.B. Technology (Strategic Marketing, Sales & Technology)

21

Page 22: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

DSA Laser Stitching Pattern Effect On Device Variation!

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

22

IMEC, VLS I Sym 2008, paper 19.1

Page 23: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

23

600

605

610

615

620

625

630

635

-30 -20 -10 0 10 20 30

Y-axis (mm)

Rs

(Ohm

/sq)

600

605

610

615

620

625

630

635

0 1 2 3 4 5 6 7 8 9

Y-axis (mm)

Rs (O

hm/s

q)

50mm

300mm

JOB/IMEC DSA USJ Analysis

3.6mm

3.6mm

25mm

3.6mm

Page 24: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

24

DSA: 5keV Ge-PAI+B

DSA+Spike: 5keV Ge-PAI+B

Page 25: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

25

fRTP RsL & TW Wafer Map & Line Scan

Line Scan Y - Axis

Line Scan X - Axis

Rs=1.5%TW=3.6%

Page 26: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

26

200mm High Resolution Map - 0.5mm step

RsL & TW Map & Line Scan of Sweeping Laser

Peak to peakRs=+/-7.5%

Rs=2456 (1.8%)

Page 27: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technology (Strategic Marketing, Sales & Technology)

27

Rs=8.5% global and 1.5% local

Page 28: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technology (Strategic Marketing, Sales & Technology)

28

TW=26%, Rs=8.5% Rs=2.8%

Page 29: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

NEC & Selete IWJT 2007:Differences For Flash & Spike Results Temperature?

J.O.B. Technology (Strategic Marketing, Sales & Technology)

29

Bss (atoms/cm3)

Borland’s IWJT 2007 Joint NEC (S4-8) and Joint Selete (S4-7) papers

Page 30: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technology (Strategic Marketing, Sales & Technology)

30

PAI Enhanced Activation At Lower Flash Temperatures But EOR Damage/Leakage

J. GelpyKato et al., Selete, IWJT 2007, p.143

1175C!

Page 31: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

DSA Laser Requires Deep Ge-PAI So USJ Will Be Leaky!

J.O.B. Technology (Strategic Marketing, Sales & Technology)

31

T. Noda et al., SSDM 2007, paper A-5-1, p. 712

1225C!

IMEC agrees DSA -75C!March 2008

Page 32: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

0

500

1000

1500

2000

2500

Low LSAPower

Medium LSAPower

High LSAPower

B500eV5keVGe+B10keVGe+B20keVGe+BB18H22BF2

RsL Sheet Resistance (ohms/sq.)

<1150C? >1325C?

Borland et al., JOB Tech/Renesas/FSM/KT, Solid State Technology July 2008

Page 33: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

33

Nara et al., Selete,ECS May 2008

1.2E20/cm3

1.2E20/cm3

Page 34: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

34

Borland et al., JOB/Renesas/FSM/KT, Solid State Technology, July 2008

LSA power level 6

LSA power level 5

LSA power level 4

LSA power level 3

LSA powerr level 2

LSA power level 1

Page 35: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

1.00E-08

1.00E-07

1.00E-06

1.00E-05

1.00E-04

1.00E-03

SPE Low LSAPower

MediumLSA Power

High LSAPower

B500eV5keVGe+B10keVGe+B20keVGe+BB18H22BF2

RsL Junction Leakage Current (A/cm2)

Borland et al., JOB Tech/Renesas/FSM/KT, Solid State Technology, July 2008

Page 36: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

36

Borland et al., JOB Tech/Renesas/FSM/KT, Solid State Technology, July 2008

Page 37: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

37

B

5keVGe+B

20keVGe+B

<1150C----------------------------------------->1350C

Page 38: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

38

10

100

1000

10000

Control Low LSAPower

MediumLSA

Power

High LSAPower

SPE

B500eV5keVGe+B10keVGe+B20keVGe+BB18H22BF2

Thermal-wave Units

Stable Defects

Borland et al., JOB Tech/Renesas/FSM/KT, Solid State Technology, July 2008

No anneal <1150C <1250C >1325C <650C

Page 39: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

No Ge-PAI Residual EOR Damage At <7nm

Mineji et al., Selete, SSDM 2004,Sept. 04

Surdeanu et al, Philips/IMEC, SSDM 2004, Sept. 04

Page 40: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

700oC iRTP, 1100oC fRTP + 950oC Spike RTA

700oC iRTP, 1300oC fRTP

700oC iRTP, 1200oC fRTP

700oC iRTP, 1100oC fRTP

66nm66nm66nm66nm

R. Camillo-Castillo et al., U of F, MRS Spring meeting 2008, paper E6.9

Lower Energy Ge-PAI & Higher MSA Peak Temp or Post 950C Spike RTA Reduces EOR Defects Density!

Page 41: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

41J.O.B. Technology (Strategic Marketing, Sales & Technology)

41

V. Moroz et al., MRS Spring meeting, paper E6.6

Page 42: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

42

Yeong et al., CSM, IIT-2008

Page 43: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

430.00000001

0.00000010

0.00000100

0.00001000

0.00010000

0.00100000

SPE Flash 900C+FLA 1000C+FLA 1000C Spike

B500eV10keVGe-PAI+BB18H22

RsL

Jun

ctio

n Le

akag

e C

urre

nt (A

/cm

2) 0.00

500.00

1000.00

1500.00

2000.00

2500.00

SPE Flash 900C+FLA 1000C+FLA 1000CSpike

B500eV10keVGe-PAI+BB18H22

RsL

She

et R

esis

tanc

e (o

hms/

sq.)

Borland et al., JOB Tech/Selete/Nanometrics, IWJT 2007

900C Spike 1st+FLA For Low Leakage & Complete Damage Annealing With 10keV Ge-PAI

Page 44: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

44

Extension Results (Leakage)

1.00E-08

1.00E-07

1.00E-06

1.00E-05

1.00E-04

1.00E-03

1.00E-02

900C spike Spike+FLA FLA+Spike Flash SPE SPE+FLA FLA+SPE

B200eV5keVGe+B890eVBF25keVGe+BF24keVB18H22

RsL Junction Leakage Current (A/cm2)

F effect: Noda (MRS 2008), Yamamoto (IWJT 2008), England (IIT 2008 P41)

Yamamoto et al., IWJT 2008, MSA pins F in substitutional site and degrades leakage!

>1200C?

Page 45: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

45

Extension Results (TW)

0

20

40

60

80

100

120

140

160

180

200

900C spike Spike+FLA FLA+Spike Flash SPE SPE+FLA FLA+SPE

B200eV5keVGe+B890eVBF25keVGe+BF24keVB18H22

Thermal-wave (TW units)

Stable Defects

PAI-EOR Damage

Borland & Kiyama, JOB/DNS, IIT-2008

Page 46: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

46

Ge+BBGe+BF2

SPE

SPE+FlashFlash

Flash+SPE

Stable Defects Unstable Defects

Good leakage with EOR damage

SpikeSpike+FlashFlash+Spike

TW >100 Reveals Residual Implant Damage After Diffusion-less Flash & SPE Anneals

Borland & Kiyama, JOB/DNS, IIT-2008

Page 47: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

47

HALO Results (Rs)

05000

10000150002000025000300003500040000450005000055000600006500070000

900C spike Spike+FLA FLA+Spike Flash SPE SPE+FLA FLA+SPE

20keVBF245keVIndium80keVB18H22

Rs Sheet Resistance (ohms/square)

In dopant activation limited by solid solubilityB dopant activation limited by dose

Page 48: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

P-Halo (In, B10, BF2)

48

In case of indium I/I, a leakage current was detected by RsL.The leakage current depend on the anneal condition.

⇒ High temperature annealing can reduce the leakage.

Leakage current density

Mienji, Borland et al., NEC/JOB/Nissin, IWJT 2007

Page 49: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

RsL Leakage Correlation To Diode Leakage

49

Hatem et al., VSEA, IIT-2008

Page 50: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

50J.O.B. Technologies (Strategic Marketing, Sales & Technology)

50

RsL LSA-1 power RsL SPE RsL LSA-6 power

Borland, Matsuda & Sakamoto, joint NEC paper, Solid State Technology, June 2002,Borland et al., JOB Tech/Renesas/FSM/KT, Solid State Technology, July 2008& Kawasaki et al., IIT 2008

5keVGe-PAI

20keVGe-PAI 10keV

Ge-PAI

No PAI

Leaky! Good!

<1150C<650C>1350C

C. Hatem, VSEAIIT 2008 RsL(PR1-vs-Ge-PAI+C)

Page 51: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

51P. Timans et al., Mattson, IIT-2008

Page 52: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

52P. Timans et al., Mattson, IIT-2008

Page 53: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Mattson fRTP (>1300C) Leakage Results From IMEC & FSM For Stable Defects (diode & RsL leakage)

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

53

Spike 1250 FLA 1300 FLA 800int lower HALO SPE +FLA FLA

IMEC

IMEC:HALO

PAI

HALO+PAI

HALO+Anneal

Borland, IWJT 2008, paper 3.2

Page 54: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

54

Spike

SPE:Si-PAI

SPE:Ge-PAI

Spike:RsL

Flash:RsL

SPE:Ge-PAI

Flash:Ge-PAI RsL

RsL Leakage Range

Uejima et al., NEC, IWJT 2008

Borland, IWJT 2008

5keV Ge-PAI EOR+HALO >100x Leakage

Page 55: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Outline• Introduction• USJ Implantation• USJ Annealing• Process Integration Issues

– Gate Stack Electrode Material (poly or metal) & Process Flow– eSiGe strain-Si relaxation

• FinFET Doping• Summary

J.O.B. Technology (Strategic Marketing, Sales & Technology)

55

Page 56: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Borland’s Updated Gate & Anneal Roadmap(MSA 1st or Spike 1st?)

J.O.B. Technology (Strategic Marketing, Sales & Technology)

56

Borland, Solid State Technology, Jan. 2008, p.38

Intel

IBM/AMD

IBM attacked TSMC for still using SiON/poly with eSiGe at 32nm node at VLSI Sym 2008

Page 57: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Only Intel & AMD Use eSiGe at 65nm Node! How Many at 45nm Node? TI Still Says NO! Also High-k? IBM LSTP32nm Node No eSiGe

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

57

SI news editorial 2/7/08: No eSiGe, no high-k/metal gate, yes immersion lithography, yes msec annealing

Page 58: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

58

• P+ Poly Gate Doping To Reduce Tox(inv), Every 0.1nm Counts– Need B diffusion so RTA 1st then msec annealing or opposite?– Poly gate pre-doping– Disposable spacer (reverse S/D)– Metal gate electrode– Gate last (replacement gate)

Ito et al., VLSI Sym. 2003

Page 59: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Spike 1st & msec Last Best For Poly Doping While For SDE msec 1st then Spike Best For Rs

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

59

T. Sanuki et al., Toshiba/NEC/Sony, IEDM 2007 paper 11.3

Page 60: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technology (Strategic Marketing, Sales & Technology)

60

Poly Gate Pre-doping With LSA Only

Narihiro et al., NEC, IEEE/RTP 2006, p.147

Page 61: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

But 45nm Node Process Integration Requires Disposable Spacer And Then msec Annealing Last!

J.O.B. Technology (Strategic Marketing, Sales & Technology)

61

Toshiba/NEC/Sony, VLSI Sym. 2007, 12A-3

Page 62: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

LSA Strain Relaxation Limits?

J.O.B. Technology (Strategic Marketing, Sales & Technology)

62

C. Cheirigh et al., MIT, ECS Trans., vol.3, no. 2, p.355, Oct. 2006

But Fujitsu and others have reported eSiGe with LSA on bulk wafer (non-SOI) at VLSI Sym 2007

Must keep msec anneal <1200C with eSiGe!

Page 63: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Leakage By Ge-PAI & C co-implants into Bulk & SiGe

63

Page 64: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Outline• Introduction• USJ Implantation• USJ Annealing• Process Integration Issues• FinFET Doping

– Avoid Amorphization– Retained Dose Limit

• Summary

J.O.B. Technology (Strategic Marketing, Sales & Technology)

64

Page 65: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Intel Bulk FinFET& Toshiba eSRAM Bulk FinFET for sub-22nm Node

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

65

Intel, VLSI Sym 2008 short course

Toshiba, VLSI Sym 2006, paper 9.2

Page 66: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

Beam-line Versus Plasma Retained Dose Study For FinFET Devices (Xj=6nm & 15nm)Intel Tri-gate H/W=1 so 45 degree tilt• Beam-line (B, BF2, B18, As,

As4, P, Sb) at 1E15/cm2– Zero tilt– Top=30 degree tilt (side wall=60

degree tilt)– Top=45 degree tilt (side wall=45

degree tilt)– Top=60 degree tilt (side wall=30

degree tilt)– FinFET 30 degree tilt– Intel Tri-gate 45degree tilt

• Plasma (BF3 & B2H6) at 1E15/cm2

J.O.B. Technology (Strategic Marketing, Sales & Technology)

66

JOB Technologies working with Nissin & IMEC on this

Duffy et al.,Appl. Phys. Lett. 90,241912 (2007)

Poly-Si

c-Si

Width

Height

Page 67: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technology (Strategic Marketing, Sales & Technology)

67

Enhanced SDE & HALO Dopant Activation

• pMOS– pSDE (1E15/cm2 dose limited by Bss so for FLA

and LSA 6E14/cm2 is OK)• B: 200eV/1E15• BF2: 1keV/1E15• B18: 4keV/5E13

– HALO (3E13/cm2 dose)• As: 20keV/3E13• As2: 40keV/1.5E13• As4: 80keV/7.5E12• Sb: 35keV/3E13

• nMOS – nSDE (1E15/cm2 or > dose)

• As: 1keV/1E15• As2: 2keV/5E14• As4: 4keV/2.5E14• P: 500eV/1E15• P2: 1keV/5E14• P4: 2keV/2.5E14• Sb: 1.7keV/1E15

– HALO (3E13/cm2 dose)• BF2: 20keV/3E13• In: 45keV/3E13 dose limited by Inss?• B18: 80keV/1.5E12

<900C Spike/RTA<700C SPE<1200C Flash

NEC/JOB/NissinIWJT 2007

JOB/DNS IIT 2008

Page 68: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

32nm Node (Xj<10nm)• B: 150-350eV/1E15

– Ge-PAI+B (Xe-PAI?)• Ge-PAI <5keV/5E14 (optional if channeling and <1300C msec annealing)

• BF2: 890eV/1E15– Ge-PAI+BF2 (Xe-PAI?)

• Ge-PAI <5keV/5E14 (optional if channeling and <1300C msec annealing)

• B18H22: 4keV/1E15• As: <1.5keV/1E15

• Ge-PAI <5keV/5E14 (optional <1300C msec annealing)

• P: <1keV/1E15 (is PAI needed?)• Sb: <2keV/1E15

J.O.B. Technologies (Strategic Marketing, Sales & Technology)

68

Page 69: John O. Borland, J.O.B. Technologies, Aiea, HI Yoji Kawasaki, Renesas Technology, Itami, Japan

J.O.B. Technology (Strategic Marketing, Sales & Technology)

69

Summary: Reduce Device & Process Variation• USJ Implantation

– Improved single wafer implanter micro-uniformity• Molecular dopant species for Extension & HALO (B18H22, Sb and P4)

• USJ Annealing– Diffusion-less activation with improved micro-uniformity and defect stability

(how best to integrate msec 1st or 2nd ?)• High temperature msec annealing in combination with diffusion-less spike/RTA (900C)

– Metrology for rapid process optimization including micro-uniformity and junction “Quality” detection

• New Thermal-wave for after anneal defect stability and residual implant damage• RsL for sheet resistance and junction leakage measurements with and without HALO

• Process Integration Issues– Gate 1st poly pre-doping or disposable spacer– Gate last no issue– Complete implant damage annealing/stable residual implant damage for

extension and HALO implants together requires post diffusion-less spike• FinFET Doping

– Retained dose issue and molecular dopants for highest quality junctions