p+ (vsn)berger/papers/2006drc... · vdd ifet iload ov-vsn 2.5v (c)write low fig. 1 biasing...

2
NMOS/SiGe Resonant Interband Tunneling Diode Static Random Access Memory S. Sudirgo a, D.J. Pawlik a, S.K. Kurinec a, P.E. Thompson b, J.W. Daulton c, S.Y. Park C R. Yu c, P.R. Berger c, and S.L. Rommel a 'Department of Microelectronic Engineering, 82 Lomb Memorial Dr., Rochester, NY 14623. Email: slremc@,rit.edu, Phone: (585) 475-4723 bNaval Research Laboratory, Code 6812, Washington, DC 20375-5347 c Department ofElectrical and Computer Engineering, The Ohio State University, Columbus, OH 43210 Tunneling-based static random access memory (SRAM) has been sought as a viable solution for a low power and high speed embedded memory application. The first cell design, proposed by Goto et al. [1], consists of two tunnel diodes connected in series, one acting as the drive and the other as the load as shown in Fig. 1. This configuration allows for bistable operation at a particular range of supply voltages (VDD). The information is stored at the sense node, which can be altered by modulating current into the node via a FET. By injecting a current into the sense node, the cell is forced to latch to a high state as illustrated in Fig l(b). During write low operation, the FET is used to discharge the cell, pulling the sense node potential to a low state as depicted in Fig l(c). The demonstration of this type of bistable latch has been done in the Ill-V material system, showing a very promising performance both in speed and power dissipation [2]. Morimoto et al. realized the system in Si platform by utilizing p+Si/oxynitride/n+poly [3]. The result, however, was limited by the lack of capability to scale to lower current density. Previously the authors have shown a successful integration of Si/SiGe resonant interband tunnel diode (RITD) with CMOS, demonstrating a monostable- bistable logic element [4]. In this paper, the realization of integrated NMOS/SiGe RITD TSRAM with ultra- low voltage operation is reported for the first time. Fig. 2 shows the device structure of the Si/SiGe RITD used in this study. It is a hybrid between a conventional Esaki and a resonant tunnel diode (RTD). The resonant states are established via 6-doping planes and the energy band offset between Si and SiGe. The variation on intrinsic layer thickness enables current scaling from 151 kA/cm2 to 20 mA/cm2 [5], providing design flexibility for targeted circuit applications. A Si/SiGe RITD with an 8 nm i-layer thickness was grown via low-temperature molecular beam epitaxy (LT- MBE) and integrated with CMOS through openings in the field SiO2 layer. These layers were grown on top of implanted p+ regions that are embedded in the n-well of the CMOS. Fig. 3 displays the SEM micrograph of two Si/SiGe RITDs integrated with an NFET to form a TSRAM cell. The current-voltage load line characteristics of the drive and load tunnel diodes are shown in Fig. 4. The tunnel diodes have a peak-to-valley current ratio up to 1.87 and a peak current density up to 52.7 A/cm2. The intersections between load and drive characteristics provide two stable latching points. Although there is another intersecting point at the negative differential resistance (NDR) region, this latching state is unstable due to inherent oscillatory instabilities of the circuit. The ratio of the difference between high and low-state with respect to VDD reaches a maximum of 53.5°Oo at a standby supply voltage of 0.57 V. The cell can either latch to a low-state at 0.13 V or a high-state at 0.43 V. Fig. 5 illustrates the transfer characteristics of the voltage at the sense node (VSN) as a function of VDD. The bistable curves were obtained by forward and backward sweeping the power supply voltage from 0 V to 1 V and from 1 V to 0 V, respectively. It is clear from this graph that the TSRAM exhibits bistable operations at VDD ranges from 0.47 V to 0.78 V. The supply voltage range is determined primarily by the peak voltage of the drive tunnel diode that is dependent upon the amount of series resistance in the system. With VDD at 0.57 V, the memory cell will have high-state at 0.44 V and low-state at 0.13 V. These numbers are in good agreement with the numbers from the load-line characteristics. Fig. 6 shows the corresponding time diagram of the TSRAM during latching high, latching low and standby conditions. In conclusion, the authors have fabricated and demonstrated the first NMOS/SiGe RITD-based TSRAM cell that successfully operates at power supply voltages below 0.5 V. This work opens up new possibilities for the realization of ultra-low power TSRAM utilizing low current density Si/SiGe RITDs. 1. E. Goto, et al., "Esaki diode high-speed logical circuits," IRE Trans. Elec. Comp.., vol. 9, pp. 25-29, Mar. 1960. 2. J.P.A. Van der Wagt, "Tunneling-based SRAM," Proc. ofIEEE, vol. 87, pp. 571-595, April 1999. 3. H. Sorada, et al, 'A Monolithically Integrated Si-interband Tunneling Diode (IBTD)/MOSFET for Ultra Low Voltage Operation Below 0.5 V," Superlattices and Microstructures, vol.287 pp. 331-337, 2000. 4. S. Sudirgo, et al., "Monolithically Integrated Si/SiGe Resonant Interband Tunnel Diode/CMOS Demonstrating Low Voltage MOBILE Operation," Solid-State Elec., vol. 48, issues 10-11, pp. 1907-1910, Oct.-Nov., 2004. 5. N. Jin, et al., "The Effect of Spacer Thicknesses on Si-based Resonant Interband Tunneling Diode Performance and their Application to Low-Power Tunneling Diode SRAM Circuits," Submitted to Trans. Elec. Dev. 0-7803-9749-5/06/$20.00 ©2006 IEEE 265

Upload: others

Post on 05-Aug-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: p+ (VSN)berger/papers/2006drc... · VDD IFET ILoad ov-VSN 2.5V (c)Write Low Fig. 1 Biasing conditions during (a) standby, (b) write high, and(c) write lowoperations. 100 nmn+Si P6-plane

NMOS/SiGe Resonant Interband Tunneling Diode Static Random Access Memory

S. Sudirgo a, D.J. Pawlik a, S.K. Kurinec a, P.E. Thompson b,J.W. Daulton c, S.Y. Park C R. Yu c, P.R. Berger c, and S.L. Rommel a

'Department ofMicroelectronic Engineering, 82 Lomb Memorial Dr., Rochester, NY 14623. Email: slremc@,rit.edu, Phone: (585) 475-4723bNaval Research Laboratory, Code 6812, Washington, DC 20375-5347

c Department ofElectrical and Computer Engineering, The Ohio State University, Columbus, OH 43210

Tunneling-based static random access memory (SRAM) has been sought as a viable solution for a lowpower and high speed embedded memory application. The first cell design, proposed by Goto et al. [1],consists of two tunnel diodes connected in series, one acting as the drive and the other as the load as shown inFig. 1. This configuration allows for bistable operation at a particular range of supply voltages (VDD). Theinformation is stored at the sense node, which can be altered by modulating current into the node via a FET.By injecting a current into the sense node, the cell is forced to latch to a high state as illustrated in Fig l(b).During write low operation, the FET is used to discharge the cell, pulling the sense node potential to a lowstate as depicted in Fig l(c). The demonstration of this type of bistable latch has been done in the Ill-Vmaterial system, showing a very promising performance both in speed and power dissipation [2]. Morimoto etal. realized the system in Si platform by utilizing p+Si/oxynitride/n+poly [3]. The result, however, was limitedby the lack of capability to scale to lower current density. Previously the authors have shown a successfulintegration of Si/SiGe resonant interband tunnel diode (RITD) with CMOS, demonstrating a monostable-bistable logic element [4]. In this paper, the realization of integrated NMOS/SiGe RITD TSRAM with ultra-low voltage operation is reported for the first time.

Fig. 2 shows the device structure of the Si/SiGe RITD used in this study. It is a hybrid between aconventional Esaki and a resonant tunnel diode (RTD). The resonant states are established via 6-doping planesand the energy band offset between Si and SiGe. The variation on intrinsic layer thickness enables currentscaling from 151 kA/cm2 to 20 mA/cm2 [5], providing design flexibility for targeted circuit applications. ASi/SiGe RITD with an 8 nm i-layer thickness was grown via low-temperature molecular beam epitaxy (LT-MBE) and integrated with CMOS through openings in the field SiO2 layer. These layers were grown on top ofimplanted p+ regions that are embedded in the n-well of the CMOS. Fig. 3 displays the SEM micrograph oftwo Si/SiGe RITDs integrated with an NFET to form a TSRAM cell.

The current-voltage load line characteristics of the drive and load tunnel diodes are shown in Fig. 4. Thetunnel diodes have a peak-to-valley current ratio up to 1.87 and a peak current density up to 52.7 A/cm2. Theintersections between load and drive characteristics provide two stable latching points. Although there isanother intersecting point at the negative differential resistance (NDR) region, this latching state is unstabledue to inherent oscillatory instabilities of the circuit. The ratio of the difference between high and low-statewith respect to VDD reaches a maximum of 53.5°Oo at a standby supply voltage of 0.57 V. The cell can eitherlatch to a low-state at 0.13 V or a high-state at 0.43 V. Fig. 5 illustrates the transfer characteristics of thevoltage at the sense node (VSN) as a function of VDD. The bistable curves were obtained by forward andbackward sweeping the power supply voltage from 0 V to 1 V and from 1 V to 0 V, respectively. It is clearfrom this graph that the TSRAM exhibits bistable operations at VDD ranges from 0.47 V to 0.78 V. The supplyvoltage range is determined primarily by the peak voltage of the drive tunnel diode that is dependent upon theamount of series resistance in the system. With VDD at 0.57 V, the memory cell will have high-state at 0.44 Vand low-state at 0.13 V. These numbers are in good agreement with the numbers from the load-linecharacteristics. Fig. 6 shows the corresponding time diagram of the TSRAM during latching high, latching lowand standby conditions.

In conclusion, the authors have fabricated and demonstrated the first NMOS/SiGe RITD-based TSRAMcell that successfully operates at power supply voltages below 0.5 V. This work opens up new possibilities forthe realization of ultra-low power TSRAM utilizing low current density Si/SiGe RITDs.

1. E. Goto, et al., "Esaki diode high-speed logical circuits," IRE Trans. Elec. Comp.., vol. 9, pp. 25-29, Mar. 1960.2. J.P.A. Van der Wagt, "Tunneling-based SRAM," Proc. ofIEEE, vol. 87, pp. 571-595, April 1999.3. H. Sorada, et al, 'A Monolithically Integrated Si-interband Tunneling Diode (IBTD)/MOSFET for Ultra Low Voltage Operation Below 0.5

V," Superlattices and Microstructures, vol.287 pp. 331-337, 2000.4. S. Sudirgo, et al., "Monolithically Integrated Si/SiGe Resonant Interband Tunnel Diode/CMOS Demonstrating Low Voltage MOBILE

Operation," Solid-State Elec., vol. 48, issues 10-11, pp. 1907-1910, Oct.-Nov., 2004.5. N. Jin, et al., "The Effect of Spacer Thicknesses on Si-based Resonant Interband Tunneling Diode Performance and their Application to

Low-Power Tunneling Diode SRAM Circuits," Submitted to Trans. Elec. Dev.

0-7803-9749-5/06/$20.00 ©2006 IEEE 265

Page 2: p+ (VSN)berger/papers/2006drc... · VDD IFET ILoad ov-VSN 2.5V (c)Write Low Fig. 1 Biasing conditions during (a) standby, (b) write high, and(c) write lowoperations. 100 nmn+Si P6-plane

VDD

IFET ILoad

ov - VSN

2.5V

(c) Write Low

Fig. 1 Biasing conditions during (a) standby, (b)write high, and (c) write low operations.

100 nmn+ SiP 6-plane

4 nm i-Si8 nm i-layer

4 nm i-SiO.6GeO.4 J-lnB 6-plane

1 nmp+ SiOJ6GeoJ4

lOOnmp+ Si' i ,

Implanted p + S i,n-well;

n-Si substrate

0.3

VSN (V)

Fig. 4. Load line analysis of back-to-back tunneldiodes, providing two stable latching points at 0.13 Vand 0.43 V at VDD = 0.57 V.

0.6

0.5

0.4

> 0.3

0.2

0.1

Fig. 2 Schematic diagram ofNMOS/SiGe RITD with8 nm i-layer integrated atop of a p+ well of CMOS.

0.2 0.3 0.4 0.5 0.6 0.7 0.8

VDD(V)0.9 1.0

Fig. 5. Transfer characteristics of voltage at the sense

node (VSN) as a function of voltage supply (VDD).

Fig. 3. SEM micrograph of two Si/SiGe RITDsmonolithically integrated with an NFET to form a

tunneling-based SRAM cell.

This work isfunded by the National ScienceFoundation (ECS-0196054 and ECS-0501460)

1.00.80.6

0.4

= 0.20.03.0

> 2.0

o 1.0~:

0.0

0.5

0.4> 0.3

>In 0.2

0.10.0

0.2 0.4 0.6

Time (sec)Fig. 6. Time diagram ofT-SRAM cell during standby(SB), write high (WH) and low (WL) operations atVDD of 0.57 V.

0-7803-9749-5/06/$20.00 ©2006 IEEE

VDD VDD

(a) Standby (b) Write High

VH = .59V

O.ov-.Lov1.ov- O.OV

0.44V

0.36V /

V =0.21V 1

0. 13V //0.12V , 0.51003.D4

0.47V , \/ 0.57V 0.78V R3C5 CN1-12

266