process and productivity results from a carrier … · process and productivity results from a...

28
Paul Werbaneth Intevac, Inc. [email protected] 408-496-2245 PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED LAYER DEPOSITION IN FAN-OUT PACKAGING APPLICATIONS

Upload: dangtruc

Post on 07-May-2018

217 views

Category:

Documents


2 download

TRANSCRIPT

Page 1: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Paul WerbanethIntevac, Inc.

[email protected]

PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR

TRANSPORT PVD SYSTEM FOR RDL SEED LAYER DEPOSITION IN FAN-OUT

PACKAGING APPLICATIONS

Page 2: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

PVD Cluster Tool History in Semiconductors Linear Transport Architectures Float Glass Semiconductors Silicon Photovoltaic Cells w/ Carriers

PVD Magnetron Architectures Ti and Cu Barrier/Seed Layer Results 300mm Silicon Wafers 600mm x 600mm Glass Panels

Cost of Ownership Analysis Conclusions

Page 3: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Source (both): R.A. Powell and S.M. Rossnagel, PVD for Microelectronics:Sputter Deposition Applied to Semiconductor Manufacturing, Academic Press,1999, pp. 103 – 116.

Page 4: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Jumbo Magnetron Sputtered Vacuum Deposition Glass CoaterSource: Ceramic Industry Magazine, February 2017.

Float Glass Production ProcessSource: J. Ochshorn, ARCH 2614/5614 Lecture Notes, Cornell University, 2015.

Page 5: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Linear Motion System for In-Vacuum TransportSource: Rexroth / Bosch Group.

Conveyor-Based Linear Transport APCVD System for Semiconductor

Source: M. Edison, et al., Visual Encyclopedia of Chemical Engineering, University of Michigan, 2017.

Page 6: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Carrier-Based Linear Transport PVD System3000wph

Carrier-Based Linear Transport Ion Implant System3000wph

Page 7: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Integrated automation load / unload

High throughput (>3000wph)

Reliable performance (<0.03% breakage rate)

Flexible substrate sizes

Integrated, Reliable, High Speed Automation

Page 8: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Two Carriers with Two 300mm x 300mm panels

Carrier with One 600mm x 600mm panel

Two Carriers with Two 300mm wafers

Page 9: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Carriers are loaded in atmosphere, a single row at a time to simplify automation

Carriers leave vacuum at system exit, which allows for easy change of substrate carriers

Substrate size change is done by changing carriers. No in-vacuum changes required

Carriers provide structural support

Carriers can provide full edge and cross clamping

Page 10: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Rotatable Target MagnetronTotal Utilization up to 90%

Source: BUTTMAN Vacuum

Rotating MagnetronTotal Utilization up to 50%

Sources: Precision Magtech (above); Gencoa (below)

Page 11: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Static (Planar) MagnetronTotal Utilization 25% to 45%

Source: Materials Science, Inc.

Page 12: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Linear Scanning Magnet Array (LSMA)

High target utilization (>60%) Scanning pole Tunable scan speed High scan acceleration Optimal edge erosion profile

Uniform target temperature control enables stable film properties

Planar target design beneficial for low target cost and complex materials

Magnet array is optimized to the target material

High magnet pole strength enables high pass through flux (Magnetic films e.g. Nickel, NiV )

Patented Design, Additional patents filed for use

Mag

net A

rray

Page 13: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Simple, planar target design beneficial for low target cost and for complex target materials

Uniform target temperature control enables stable film properties

Magnet array is optimized to the target material

Scanning magnet array High speed scan controls re-

deposition and film uniformity >60% target utilization

Page 14: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Scanning MagnetronTotal Utilization >60%

Page 15: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

“This is what your article will look like in the magazine. I hope you like it as much as we do.Kind regards, Elaine.”Elaine Perrigot, Editor PES Wind & Solar PV

Page 16: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Deposit metal(s) of interest onto oxidized silicon wafers or coupons

Cleave samples and measure metal thickness with SEM

Correlate with Rsheet Film adhesion testing per ASTM

D3359-B Results: Ti and Cu films are 1001Å and

2047Å thick Ti Rsheet 6.19Ω/ ±2.6% Cu Rsheet 121mΩ/ ±4.4% Excellent Ti adhesion (ISO/JIS “0”)

Page 17: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

17

Y1

Y

X

1 2

3 4

X1

X2

Y2 Ti-1 Ti-2 Ti-3 Ti-4 All

Average(Ω) 6.19 6.21 6.22 6.22 6.21Unif. 2.57% 3.26% 3.31% 3.73% 3.73%

X & Y X1 X2 Y1 Y2 AllAverage(Ω) 6.20 6.23 6.19 6.22 6.21

Unif. 2.09% 1.69% 2.51% 3.36% 3.73%

𝑈𝑛𝑖𝑓 . =𝑀𝑎𝑥 −𝑀𝑖𝑛𝑀𝑎𝑥 +𝑀𝑖𝑛

Ω/sq

Page 18: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

18

Y1

Y

X

1 2

3 4

X1

X2

Y2

Cu-1 Cu-2 Cu-3 Cu-4 AllAverage(Ω) 121.06 121.94 122.18 123.39 122.14

Unif. 4.37% 3.89% 3.99% 3.41% 4.99%

X & Y X1 X2 Y1 Y2 AllAverage(Ω) 122.13 123.03 122.00 123.89 122.14

Unif. 3.52% 3.61% 2.80% 2.42% 4.99%

𝑈𝑛𝑖𝑓 . =𝑀𝑎𝑥 −𝑀𝑖𝑛𝑀𝑎𝑥 +𝑀𝑖𝑛

Ω/sq

Page 19: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

19

Y1

Y

X

1 2

3 4

X1

X2

Y2

Ω/sq

TiCu-1 TiCu-2 TiCu-3 TiCu-4 AllAverage(Ω) 114.09 115.45 114.74 115.40 114.92

Unif. 4.71% 3.39% 4.35% 3.77% 4.99%

X & Y X1 X2 Y1 Y2 AllAverage(Ω) 114.55 115.18 114.60 116.19 114.92

Unif. 0.038 3.72% 2.29% 3.15% 4.99%

𝑈𝑛𝑖𝑓 . =𝑀𝑎𝑥 −𝑀𝑖𝑛𝑀𝑎𝑥 +𝑀𝑖𝑛

Page 20: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

600mm × 600mm glass substrates

Corning SGW8 @0.7mm thickness

Results: Ti Rsheet 6.37 Ω/ ±3.6% Cu Rsheet 117 mΩ/ ±4.7%

n.b. Complete barrier/seed layer sputter deposition processesfor fan-out RDL applications include several other steps thatoccur before PVD itself: a thorough degas, and some kind ofpre-clean of the active surface immediately prior to metaldeposition. We have PORs for both.

Page 21: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

21

Y\X(mm) -295 -150 0 150 295295 118.8 114.4 114.8 115.3 117.9150 115.3 118 119.2 120.4 117.1

0 114.5 120.4 122.4 121.2 116.5-150 115.8 119 119.4 119.6 118.8-295 118.2 117.3 119.2 116 119.8

117.97122.4114.43.38%

600 X 600mm glass, Ti/Cu 1000Å/2000Å, Sheet Resistance (mΩ)

Average Rsheet (mΩ)Max Rsheet (mΩ)Min Rsheet (mΩ)Uniformity (%)

𝑈𝑛𝑖𝑓𝑜𝑟𝑚𝑖𝑡𝑦 =

(𝑀𝑎𝑥 −𝑀𝑖𝑛)(𝑀𝑎𝑥 +𝑀𝑖𝑛)

Page 22: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Panel Rsheet Avg.(Ω/)

Uniformity (±%)

1 6.49 4.4

2 6.38 3.9

3 6.37 3.6

4 6.39 3.7

5 6.39 3.5

Page 23: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

Term / Spec Definition

Target Utilization (TU)

Percentage of target materialsputtered by end ofcampaign lifetime (based onweight)

Collection Efficiency (CE)

Percentage of target materialdeposited on the wafer vs.material deposited onchamber walls and shields

Sputter Efficiency (SE)

Material deposited on waferas percentage of total targetmaterial availableSE = TU * CE

Goals for HVM: 65% or greater TU50% or greater CE30% or greater SE

Page 24: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

In-House COO Model for linear transport of wafers or panels

Equivalent to SEMI E35-0312 Additional considerations

included for COO modeling: Utilities (electrical power, water,

etc.) Personnel (operators, engineers,

maintenance technicians) (non-target) consumables and

spares COO analysis here for

barrier/seed film stacks of 1000Å Ti and 2000Å Cu on 300mm wafers

Page 25: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

In-House COO Model for linear transport of wafers or panels

Equivalent to SEMI E35-0312 Additional considerations

included for COO modeling: Utilities (electrical power, water,

etc.) Personnel (operators, engineers,

maintenance technicians) (non-target) consumables and

spares COO analysis here for

barrier/seed film stacks of 1000Å Ti and 2000Å Cu on 600mm x 600mm panels

Page 26: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

We developed sputter deposition processes for barrier/seedlayer applications in fan-out packaging on a carrier-based lineartransport PVD system, the Intevac MATRIX™, using a scanningmagnet array magnetron configuration employing the LSMA™.

Metal film deposition uniformity, sheet resistance, and filmadhesion results for Ti and Cu films on both 300mm roundwafers and on 600mm x 600mm square glass panels areconsistent with the process requirements of the advancedpackaging industry.

Our analysis of system throughput, PVD target utilization, andoverall Cost of Ownership for the linear transport carrier-basedPVD system, shows costs per wafer processed, or costs per panelprocessed, to be 40-50% lower than the traditional clustersystems routinely used in the packaging industry.

Page 27: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

The processing cost advantages of linear transport systems have long been recognized by the silicon photovoltaic cell fabrication industry.

PV industry learning might be usefully ported to other industries, for example semiconductor packaging, that run high volumes of material through sputter deposition tools.

Page 28: PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER … · PROCESS AND PRODUCTIVITY RESULTS FROM A CARRIER-BASED LINEAR TRANSPORT PVD SYSTEM FOR RDL SEED ... Planar target design beneficial

[email protected]

Thank You!Terry Bluck, Chun-Chung Chen, Daniel Gallagher,

Vladimir Kudriavstev, Lisa Mandrell, Billy Runstadler, Chris Smith

Intevac, Inc.Santa Clara, CA, USA