vlsi final file
DESCRIPTION
vlsi file for ece 04 batchTRANSCRIPT
![Page 1: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/1.jpg)
VLSI FILE
SUBMITTED BY:-
![Page 2: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/2.jpg)
INDEX
S.No. Name of experiment Date Remarks
1 Design of gates
a Design of AND gates.
b Design of OR gates.
c Design of XOR gates.
2 Design of Xor gate using other basic gates.
3 Design of 2:1 Mux using other basic gates
4 Design of 2 to 4 decoder
5Design of half-adder, full adder, half subtractor, full subtractor.
6 Design of 3:8 decoder
7 Design of 8:3 priority encoder.
8 Design of 4 bit binary to grey code converter
9Design of 4 bit binary to BCD converter using sequential statements
10Design an 8bit parity generator ( with for loop and generic statements)
11Design of all type of flip-flops using (if-then-else) sequential constructs
12Design of 8-Bit Shift Register with shift Right, Rhisft Left, Load and Synchronous reset.
13 Design of ALU
14Design of Synchronous 8-Bit universal shift register ( parallel-in, parallel-out) with 3-state output ( IC 74299)
15 Design
a. Mod 3 Counter
b. Mod 5 Counter
c. Mod 7 Counter
d. Mod 8 Counter
e. Mod 16 counter
16Design a decimal up/down counter that counts up from 00 to 99 or down from 99 to 00
![Page 3: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/3.jpg)
![Page 4: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/4.jpg)
![Page 5: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/5.jpg)
WAVEFORM:
RESULT: Designed AND gate, observed RTL view and waveform.
![Page 6: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/6.jpg)
![Page 7: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/7.jpg)
![Page 8: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/8.jpg)
WAVEFORMS:
RESULT: Designed OR gate, observed RTl view and waveform.
![Page 9: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/9.jpg)
![Page 10: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/10.jpg)
![Page 11: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/11.jpg)
WAVEFORMS:
RESULT: Designed XOR gate, observed RTl view and waveform.
![Page 12: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/12.jpg)
![Page 13: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/13.jpg)
![Page 14: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/14.jpg)
WAVEFORM:
RESULT: Designed XOR gate using basic gates, observed RTL view and wave form.
![Page 15: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/15.jpg)
![Page 16: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/16.jpg)
![Page 17: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/17.jpg)
![Page 18: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/18.jpg)
WAVEFORM:
RESULT: Designed 2:1 mux using basic gates, observed RTL view and waveform.
![Page 19: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/19.jpg)
![Page 20: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/20.jpg)
![Page 21: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/21.jpg)
![Page 22: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/22.jpg)
![Page 23: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/23.jpg)
WAVEFORM:
RESULT: Designed 2:4 decoder using basic gates, observed RTL view and waveform.
![Page 24: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/24.jpg)
![Page 25: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/25.jpg)
![Page 26: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/26.jpg)
WAVEFORM:
RESULT: Designed half adder using dataflow modeling.
![Page 27: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/27.jpg)
![Page 28: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/28.jpg)
![Page 29: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/29.jpg)
![Page 30: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/30.jpg)
WAVEFORM:
RESULT: Designed full adder using dataflow modeling.
![Page 31: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/31.jpg)
![Page 32: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/32.jpg)
![Page 33: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/33.jpg)
![Page 34: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/34.jpg)
WAVEFORM:
RESULT: Designed half subtractor using dataflow modeling.
![Page 35: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/35.jpg)
![Page 36: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/36.jpg)
![Page 37: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/37.jpg)
![Page 38: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/38.jpg)
WAVEFORM:
RESULT: Designed full subtractor using dataflow modeling.
![Page 39: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/39.jpg)
![Page 40: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/40.jpg)
![Page 41: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/41.jpg)
WAVEFORM:
RESULT: Designed 3:8 decoder and RTL view and logic.
![Page 42: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/42.jpg)
![Page 43: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/43.jpg)
![Page 44: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/44.jpg)
WAVEFORM:
RESULT: Designed 8:3 priority encoder.
![Page 45: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/45.jpg)
![Page 46: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/46.jpg)
![Page 47: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/47.jpg)
![Page 48: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/48.jpg)
WAVEFORM:
RESULT: Designed 4 biit binary to grey code converter.
![Page 49: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/49.jpg)
![Page 50: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/50.jpg)
![Page 51: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/51.jpg)
![Page 52: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/52.jpg)
![Page 53: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/53.jpg)
WAVEFORM:
RESULT: Designed 4bit binart to BCD converter using sequential statements.
![Page 54: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/54.jpg)
![Page 55: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/55.jpg)
![Page 56: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/56.jpg)
WAVEFORM:
RESULT: Designed 8 Bit parity generator, observedRTL view and waveform.
![Page 57: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/57.jpg)
![Page 58: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/58.jpg)
![Page 59: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/59.jpg)
![Page 60: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/60.jpg)
WAVEFORM:
RESULT : Designed SR flipflop , absered waveforma nd RTL view.
![Page 61: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/61.jpg)
![Page 62: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/62.jpg)
![Page 63: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/63.jpg)
WAVEFORM:
RESULT: Designed Jk flipflop, Observed RTL view and waveform.
![Page 64: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/64.jpg)
![Page 65: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/65.jpg)
![Page 66: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/66.jpg)
WAVEFORM:
RESULT: Designed D flip flop , observed RTL view and waveform.
![Page 67: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/67.jpg)
![Page 68: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/68.jpg)
![Page 69: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/69.jpg)
WAVEFORM:
RESULT: Designed T flip flop , observed RTL view and waveform.
![Page 70: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/70.jpg)
![Page 71: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/71.jpg)
![Page 72: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/72.jpg)
WAVEFORM:
RESULT : Designed 8 bit shift register, observed RTL view and waveform.
![Page 73: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/73.jpg)
Experiment No. 13
AIM: Design of ALU.
SOFTWARE USED: Version: Xilinx 6.3i. Simulator: Model Sim 5.4a.
MODELLING STYLE: Behavioral.
RTL VIEW:
![Page 74: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/74.jpg)
Experiment No. 13
AIM: Design of ALU.
SOFTWARE USED: Version: Xilinx 6.3i. Simulator: Model Sim 5.4a.
MODELLING STYLE: Behavioral.
VHDL CODE FOR 8bit ALU
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;
ENTITY alu8bit ISport(a, b : in std_logic_vector(7 downto 0); -- a and b are busses
op : in std_logic_vector(2 downto 0);zero : out std_logic;
f : out std_logic_vector(7 downto 0));END alu8bit;
architecture behavioral of alu8bit isbegin
process(op)variable temp: std_logic_vector(7 downto 0);begincase op is
when "000" =>temp := a and b;
when "100" =>temp := a and b;
when "001" =>temp := a or b;
when "101" =>temp := a or b;
when "010" =>temp := a + b;
when "110" =>temp := a - b;
when "111" =>if a < b thentemp := "11111111";elsetemp := "00000000";end if;
when others =>temp := a - b;
![Page 75: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/75.jpg)
end case;if temp="00000000" thenzero <= '1';elsezero <= '0';end if;f <= temp;end process;
end behavioral;
![Page 76: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/76.jpg)
![Page 77: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/77.jpg)
WAVEFORM:
RESULT: Designed ALU, observed RTL view and waveform.
![Page 78: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/78.jpg)
![Page 79: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/79.jpg)
![Page 80: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/80.jpg)
WAVEFORM:
RESULT: Designed 8 bit universal shift register, observed RTL view and waveform.
![Page 81: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/81.jpg)
![Page 82: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/82.jpg)
![Page 83: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/83.jpg)
![Page 84: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/84.jpg)
WAVEFORM:
RESULT: Designed mod3 counter, observed RTL view and output waveform.
![Page 85: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/85.jpg)
![Page 86: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/86.jpg)
![Page 87: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/87.jpg)
![Page 88: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/88.jpg)
WAVEFORM:
RESULT: Designed mod 5 counter , observed RTL view and output waveform.
![Page 89: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/89.jpg)
![Page 90: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/90.jpg)
![Page 91: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/91.jpg)
WAVEFORM:
RESULT: Designed mod 7 counter, observed RTL view and waveform.
![Page 92: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/92.jpg)
![Page 93: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/93.jpg)
![Page 94: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/94.jpg)
WAVEFORM:
RESULT : Designed mod 8 counter, observed RTL view and output waveform.
![Page 95: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/95.jpg)
![Page 96: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/96.jpg)
![Page 97: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/97.jpg)
WAVEFORM:
RESULT: Designed mod 16 counter, obsereved RTL view and waveform.
![Page 98: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/98.jpg)
![Page 99: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/99.jpg)
![Page 100: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/100.jpg)
![Page 101: Vlsi Final File](https://reader038.vdocument.in/reader038/viewer/2022102603/5464d805b4af9f58498b456b/html5/thumbnails/101.jpg)
WAVEFORM:
RESULT:Designed a decimal up/down counter, observed RTL view and waveform.