2021 cvd, ald & sod precursors

22
2021 CVD, ALD & SOD PRECURSORS METAL AND HIGH-K FOR SEMICONDUCTOR APPLICATIONS Prepared by: Jonas Sundqvist, Ph.D. TECHCET CA LLC 11622 El Camino Real #100 San Diego, CA 92130 SPRING 2021

Upload: others

Post on 31-Jan-2022

9 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 2021 CVD, ALD & SOD PRECURSORS

2021 CVD, ALD & SOD PRECURSORS METAL AND HIGH-K FOR SEMICONDUCTOR APPLICATIONS

Prepared by:Jonas Sundqvist, Ph.D.

TECHCET CA LLC 11622 E l Camino Real #100 San Diego, CA 92130

SPRING 2021

Page 2: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

2

RESEARCH METHODOLOGY

TECHCET employs subject matter experts having first-hand experience within the industries which

they analyze. Most of TECHCET's analysts have over 25 years of direct and relevant experience in

their field. Our analysts survey the commercial and technical staff of IC manufacturers and their

suppliers and conduct extensive research of literature and commerce statistics to ascertain the

current and future market environment and global supply risks. Combining this data with

TECHCET's proprietary, quantitative wafer forecast results in a viable long-term market forecast for

a variety of process materials.

Readers Note: This report represents the interpretation and analysis of information generally

available to the public or released by responsible agencies or individuals. Data was obtained

from sources considered reliable. However, accuracy or completeness is not guaranteed.

Page 3: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-CVD Dielectrics -CMC-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

3

Jonas Sundqvist, Ph.D.

Jonas Sundqvist, Sr. Technology Analyst of TECHCET, Electronic Gases and ALD & CVD

• Jonas Sundqvist, Ph.D. – Sr. Technology Analyst of TECHCET— covers ElectronicGases and ALD & CVD precursors and related technologies, and the co-chair of theAnnual Critical Materials Council (CMC) Conference. His over 20 years of workexperience includes Group Leader of the Thin-Film Technologies Group at TheFraunhofer Institute for Ceramic Technologies and Systems (IKTS) in Germany, CleanRoom Operations Manager for Lund Nano Lab, Lund University in Sweden andGroup Leader of the ALD & High-k devices group at Fraunhofer’s CenterNanoelectronic Technologies (CNT) in Germany, which included 28nm node workfor GLOBALFOUNDRIES Fab1.

• Previously, at Infineon Memory Development Centre (MDC), he developed high-kand metal nitride ALD processes, and at Qimonda, he was a materials managerfocused on the ALD/CVD precursors supply-chain. He holds a Ph.D. and an M.S. ininorganic chemistry from Uppsala University, Sweden & Institute forMicromanufacturing, Louisiana Teche, USA, a B.S. in electrical and electronicsengineering from Lars Kagg, and nine patents and 40 related scientific publications.

• Jonas Sundqvist is on the Scientific Committee for AVS ALD and has co-chairedALD2016 Dublin Ireland, and the annual EFDS ALD for Industry Workshop in Germany.

Page 4: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

3

TABLE OF CONTENTS 1 EXECUTIVE SUMMARY ....................................................................................................... 20

1.1 Precursors Market Forecasts & 5-Year CAGRs ......................................................................... 201.2 Technical Drivers / Material Changes and Transitions ............................................................ 211.3 Leading Edge Logic ................................................................................................................... 221.4 Equipment Market Trends .......................................................................................................... 221.5 Analyst Assessment ..................................................................................................................... 261.6 Opportunities for Suppliers ......................................................................................................... 28

2 SCOPE, PURPOSE, AND METHODOLOGY ......................................................................... 302.1 Scope ........................................................................................................................................... 302.2 Purpose ........................................................................................................................................ 302.3 Methodology ............................................................................................................................... 302.4 Overview of Other TECHCET CMR™ Reports ........................................................................... 31

3 MARKET OUTLOOK ............................................................................................................. 323.1 Semiconductor Industry Market Status & Outlook .................................................................. 323.2 Global Economy ......................................................................................................................... 32

Semiconductor Industries Ties to the Global Economy ................................................. 33Semiconductor Sales Growth ........................................................................................... 34Taiwan Monthly Sales Trends ............................................................................................. 35Semiconductor Industry Outlook ...................................................................................... 35Semiconductor Units and Wafer Shipment Growth Forecast ....................................... 36

3.3 Electronic Goods Market ........................................................................................................... 37Smartphones ....................................................................................................................... 38PC Unit Shipments .............................................................................................................. 38

3.4 Automotive Sales ........................................................................................................................ 39Automotive Sales and Impact on Semiconductor Sales ............................................... 40Electric Vehicle (EV) Market Trends ................................................................................. 41Increase in Semiconductor Content for Autos ............................................................... 42Semiconductor Content by Automotive Electronic System ......................................... 43Servers / IT ............................................................................................................................ 44

3.5 Semiconductor Fabrication Growth & Expansion ................................................................... 44Equipment Spending Trends ............................................................................................. 45Overall China Market Trends ............................................................................................ 48Policy & Trade Trends and Impact ................................................................................... 49Policy and Trade Issues ...................................................................................................... 50

Page 5: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

4

U.S. CHIPS Act ..................................................................................................................... 50Other Semiconductor Funding Activity ........................................................................... 51

3.6 Semiconductor Materials Outlook ............................................................................................ 52Wafer Start Growth ............................................................................................................ 52Materials Forecast .............................................................................................................. 53

4 SEMICONDUCTOR PRECURSORS MARKET TRENDS ........................................................... 554.1 CVD & ALD Metal and High-κ precursors ................................................................................. 564.2 Sub-Tier Material Trends .............................................................................................................. 574.3 Technical Drivers / Material Changes and Transitions ............................................................ 594.4 Leading-Edge Logic ................................................................................................................... 594.5 DRAM ............................................................................................................................................ 644.6 3DNAND ....................................................................................................................................... 654.7 Emerging Memory Devices ........................................................................................................ 674.8 China Status in Memory and Logic ........................................................................................... 684.9 Trends/impact/status of legacy materials ............................................................................... 69

Comment on Regional Trends/Drivers ............................................................................. 714.10 EHS and Logistic Issues ................................................................................................................ 72

Tungsten .............................................................................................................................. 72Titanium ............................................................................................................................... 73Zirconium and Hafnium ..................................................................................................... 73Cobalt .................................................................................................................................. 74Ruthenium ........................................................................................................................... 75Logic Production Energy demand and Green House Gas emissions .......................... 75Changes in standard packaging/valve types ............................................................... 77

4.11 CVD / ALD Equipment ................................................................................................................ 784.12 Literature review and IP filing for new metallization – Mo and Ru ........................................ 84

5 PRECURSORS MARKET FORECAST & MARKET SHARES ...................................................... 915.1 Fab Material Supply/Demand - Metal Precursors ................................................................... 91

Metal Precursors - Market Forecast .................................................................................. 92Metal Precursors - Market Shares ...................................................................................... 92Metal Precursors - Regional Shares .................................................................................. 93

5.2 M&A Activity ................................................................................................................................ 94Summary of recent M&A´s ................................................................................................ 95Impact of M&A on market leaders .................................................................................. 96Linde-Praxair ........................................................................................................................ 96

Page 6: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

5

Versum Materials takeover deal by Merck KGaA .......................................................... 972021 - Ascensus acquires a global leader in high-purity specialty chemicals and

CVD/ALD precursors Strem Chemicals, Inc.Passt M&A ................................................................ 97Mitsubishi Chemical America Inc. takeover of Gelest Inc. ........................................... 982018/2019 - MPD Chemicals acquires Norquay Technology and then gets acquired

by Entegris in addition to previously buying DSC. ......................................................................... 98OEM M&A activity in the CVD and ALD Segment ......................................................... 99

5.2.8.1 Applied Materials Kokusai takeover ......................................................................... 995.3 Regional Market Dynamics - New Plants or Entrants ............................................................ 100

South Korea ....................................................................................................................... 100Taiwan ............................................................................................................................... 100USA ..................................................................................................................................... 101

5.4 EU and The UK ........................................................................................................................... 1015.5 Plant Closures and Product Discontinuations ........................................................................ 1015.6 New Entrants- no new entrants ............................................................................................... 1015.7 Suppliers or parts/product line that is at risk of discontinuations – none to report at this

time 1015.8 Pricing Trends ............................................................................................................................. 101

6 SUB TIER MATERIAL SUPPLY CHAIN ................................................................................. 1036.1 Raw Material Sources ............................................................................................................... 1036.2 Raw Supply Chain Disruptions ................................................................................................. 103

COVID-19 ........................................................................................................................... 1056.3 M&A Activity .............................................................................................................................. 1066.4 Raw Material EHS and Logistics Issues .................................................................................... 1066.5 New entrants ............................................................................................................................. 106

7 SUB-TIER MATERIAL SUPPLY-CHAIN STATUS & CHALLENGES ......................................... 1077.1 Tungsten ..................................................................................................................................... 1077.2 Cobalt ........................................................................................................................................ 1097.3 Tantalum and Niobium ............................................................................................................. 1137.4 Zirconium and Hafnium ............................................................................................................ 1157.5 Rare-Earth .................................................................................................................................. 1187.6 Ruthenium & PGM .................................................................................................................... 1197.7 Titanium ...................................................................................................................................... 1227.8 Aluminum ................................................................................................................................... 123

8 SUPPLIER PROFILES ........................................................................................................... 124

Page 7: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

6

8.1 ADEKA Corporation .................................................................................................................. 124 ADEKA CORPORATION - Company Overview .............................................................. 124 ADEKA CORPORATION -Business Segments .................................................................. 125 ADEKA CORPORATION - News ........................................................................................ 126 ADEKA CORPORATION - Regions Served ...................................................................... 128 ADEKA CORPORATION - Key Customers ....................................................................... 128 ADEKA CORPORATION - Financial Overview ................................................................ 129 ADEKA CORPORATION - Manufacturing Locations, Capabilities, and Certifications

129 Sales Reps and Distributors .............................................................................................. 131 TECHCET Analyst Assessment .......................................................................................... 131

8.2 Air Liquide (Maker, Purifier, Supplier) ...................................................................................... 131 Air Liquide - Company Overview ................................................................................... 131 Air Liquide - Business Segments ....................................................................................... 132 Air Liquide - News ............................................................................................................. 132 Air Liquide – Financial Overview ..................................................................................... 133 Air Liquide - Manufacturing Locations, Capabilities, and Certifications ................... 133 JVs and Partnerships ........................................................................................................ 134 Sales Reps .......................................................................................................................... 134 TECHCET Analyst Assessment .......................................................................................... 134

8.3 AZmax Co., Ltd .......................................................................................................................... 135 AZmax Co., Ltd. - Company Overview .......................................................................... 135 AZmax Co., Ltd.-Business Segments ............................................................................... 135 Azmax Co., Ltd. – Regions Served .................................................................................. 136 Azmax Co., Ltd.- Fiscal Year Financial Overview ......................................................... 136 AZmax Co., Ltd.- Manufacturing Locations, Capabilities, and Certifications ........... 136 JVs and Partnerships ........................................................................................................ 136 Sales Reps and Distributors .............................................................................................. 136 TECHCET Analyst Assessment .......................................................................................... 136

8.4 DNF Co., Ltd ............................................................................................................................... 137 DNF Co., Ltd - Company Overview ............................................................................... 137 DNF Co., Ltd -Business Segments – all electronics materials ....................................... 137 DNF Co., Ltd -News .......................................................................................................... 138 DNF Co., Ltd -Regions Served ......................................................................................... 138 DNF Co., Ltd -Key Customers .......................................................................................... 138

Page 8: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

7

Fiscal Year Financial Overview ....................................................................................... 139DNF Co., Ltd -JVs and Partnerships ................................................................................ 140DNF Co., Ltd -Sales Reps and Distributors ...................................................................... 140DNF Co., Ltd -TECHCET Analyst Assessment .................................................................. 140

8.5 Entegris ....................................................................................................................................... 141Entegris - Company Overview ........................................................................................ 141ENTEGRIS - Business Segments ......................................................................................... 141ENTEGRIS- News ................................................................................................................ 143ENTEGRIS - Regions Served .............................................................................................. 143ENTEGRIS- Key Customers ................................................................................................ 143ENTEGRIS- Fiscal Year Financial Overview ..................................................................... 143ENTEGRIS- Manufacturing Locations, Capabilities, and Certifications ...................... 144JVs and Partnerships ........................................................................................................ 145Sales Reps and Distributors .............................................................................................. 145TECHCET Analyst Assessment .......................................................................................... 145

8.6 Epivalence ................................................................................................................................. 146EpiValence Ltd. - Company Overview .......................................................................... 146EpiValence Ltd.-Business Segments ............................................................................... 146EpiValence Ltd.- News ..................................................................................................... 148EpiValence Ltd.- Regions Served ................................................................................... 148EpiValence Ltd.- Key Customers .................................................................................... 148EpiValence Ltd - Fiscal Year Financial Overview ......................................................... 148EpiValenceLtd-ManufacturingLocations,Capabilities,andCertifications ................................. 149

JVs and Partnerships ........................................................................................................ 149Sales Reps and Distributors .............................................................................................. 149TECHCET Analyst Assessment .......................................................................................... 149

8.7 Gelest – Mitsubishi Chemicals .................................................................................................. 149Gelest Inc. - Company Overview .................................................................................. 149Gelest Inc – Business Segments. ...................................................................................... 150Gelest Inc. – News ............................................................................................................ 151Gelest Inc.- Regions Served ............................................................................................ 152Gelest Inc. - Fiscal Year Financial Overview ................................................................. 152Gelest Inc. - Manufacturing Locations, Capabilities, and Certifications ................... 152JVs and Partnerships ........................................................................................................ 153Sales Reps and Distributors .............................................................................................. 153

Page 9: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

8

TECHCET Analyst Assessment .......................................................................................... 1548.8 Hansol Chemical ....................................................................................................................... 155

Hansol Chemical - Company Overview ....................................................................... 155Hansol Chemical - Business Segments ........................................................................... 155Hansol Chemical - News ................................................................................................. 156Hansol Chemical - Regions Served ................................................................................ 156Hansol Chemical - Key Customers ................................................................................. 156Hansol Chemical - Fiscal Year Financial Overview ...................................................... 157Hansol Chemical - Manufacturing Locations, Capabilities, and Certifications ....... 157Hansol Chemical - JVs and Partnerships ....................................................................... 158Hansol Chemical- Sales Reps and Distributors .............................................................. 159TECHCET Analyst Assessment .......................................................................................... 159

8.9 H.C. Starck (sub-tier supplier) ................................................................................................... 160HC Stark-Company Overview ........................................................................................ 160HC Stark -Business Segments ........................................................................................... 160HC Stark -Recent News .................................................................................................... 163HC Stark -Regions Served ................................................................................................ 163HC Stark -Financial Overview .......................................................................................... 163HC Stark -Manufacturing Locations, Capabilities, and Certifications ....................... 164JVs and Partnerships ........................................................................................................ 164Sales Reps .......................................................................................................................... 166TECHCET Analyst Assessment .......................................................................................... 166

8.10 Kojundo Chemical Laboratory ................................................................................................ 166Kojundo - Company Overview ....................................................................................... 166Kojundo -Business Segments ........................................................................................... 167Kojundo - News ................................................................................................................. 170Kojundo– Regions Served ................................................................................................ 170Kojundo - Key Customers ................................................................................................ 170Kojundo - Manufacturing Locations, Capabilities, and Certifications ....................... 170TECHCET Analyst Assessment .......................................................................................... 171

8.11 Linde (Maker, Purifier, Supplier) ............................................................................................... 172Linde- Company Overview ............................................................................................. 172Linde-Business Segments ................................................................................................. 173Linde- News ....................................................................................................................... 175Linde - Key Customers ..................................................................................................... 176

Page 10: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

9

Linde - Regions Served ................................................................................................... 176 Linde- Fiscal Year Financial Overview ............................................................................ 176 Linde- Manufacturing Locations, Capabilities, and Certifications ............................. 177 JVs and Partnerships ........................................................................................................ 177 Sales Reps and Distributors - Linde ................................................................................. 178 TECHCET Analyst Assessment ....................................................................................... 178

8.12 Mecaro ....................................................................................................................................... 179 Mecaro- Company Overview ........................................................................................ 179 Business Segments ............................................................................................................ 179 News .................................................................................................................................. 181 Regions Served ................................................................................................................. 181 Key Customers .................................................................................................................. 181 Fiscal Year Financial Overview (KRW) ............................................................................ 182 Manufacturing Locations, Capabilities, and Certifications ........................................ 182 JVs and Partnerships ........................................................................................................ 184 Sales Reps and Distributors .............................................................................................. 185 TECHCET Analyst Assessment ....................................................................................... 185

8.13 EMD Electronics/Merck EMD (for old profile Versum products see Sec.26) ....................... 186 Merck KGaA / EMD- Company Overview .................................................................... 186 Merck KGaA / EMD - Business Segments ....................................................................... 186 Merck KGaA / EMD – Financial Overview ..................................................................... 188 Merck KGaA / EMD - News ............................................................................................. 188 Merck KGaA / EMD - Key Customers ............................................................................. 189 Merck KGaA / EMD - Manufacturing Locations, Capabilities, and Certifications ... 189 JVs and Partnerships ........................................................................................................ 192 Sales Reps and Distributors .............................................................................................. 192 TECHCET Analyst Assessment .......................................................................................... 194

8.14 Nanmat ...................................................................................................................................... 194 Nanmat -Company Overview ........................................................................................ 194 Nanmat -Business Segments ........................................................................................... 195 Nanmat - News ................................................................................................................. 196 Nanmat -Regions Served ................................................................................................ 196 Nanmat -Key Customers ................................................................................................. 196 Nanmat -Financial Overview .......................................................................................... 197 Nanmat -Manufacturing Locations, Capabilities, and Certifications ........................ 197

Page 11: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

10

Nanmat -JVs and Partnerships ........................................................................................ 197 Nanmat -Sales Reps ......................................................................................................... 197 Nanmat - TECHCET Analyst Assessment ..................................................................... 198

8.15 Norquay (old profile, integrated in MPD and aquired by Entegris) .................................... 198 Norquay - Company Overview ...................................................................................... 198 Norquay - Business Segments .......................................................................................... 199 Norquay - News ................................................................................................................ 201 Norquay - Manufacturing Locations, Capabilities, and Certifications ...................... 202 Norquay - JVs and Partnerships ...................................................................................... 202 Norquay - Sales Reps ....................................................................................................... 202 Norquay - TECHCET Analyst Assessment ........................................................................ 203

8.16 Pegasus Chemicals ................................................................................................................... 203 Pegasus Chemicals - Company Overview ................................................................... 203 Pegasus Chemicals - Business Segments ....................................................................... 203 Pegasus Chemicals - News ............................................................................................. 208 Pegasus Chemicals - Regions Served ............................................................................ 209 Pegasus Chemicals - Financial Overview ..................................................................... 209 Pegasus Chemicals - Manufacturing Locations, Capabilities, and Certifications ... 209 Pegasus Chemicals - JVs and Partnerships ................................................................... 209 Pegasus Chemicals - Sales Reps ..................................................................................... 210 Pegasus Chemicals - TECHCET Analyst Assessment .................................................... 210

8.17 Soulbrain .................................................................................................................................... 211 Soulbrain- Company Overview ...................................................................................... 211 Soulbrain -Business Segments .......................................................................................... 211 Soulbrain -News ................................................................................................................ 212 Soulbrain -Regions Served ............................................................................................... 213 Soulbrain -Key Customers ................................................................................................ 213 Fiscal Year Financial Overview ....................................................................................... 213 Soulbrain -Manufacturing Locations, Capabilities, and Certifications ...................... 214 Soulbrain -JVs and Partnerships ...................................................................................... 214 Soulbrain - Sales Reps and Distributors ........................................................................... 215 Soulbrain -TECHCET Analyst Assessment .................................................................... 215

8.18 Strem ........................................................................................................................................... 215 Strem - Company Overview ........................................................................................... 215 Strem - Products ................................................................................................................ 216

Page 12: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

11

Strem - News ..................................................................................................................... 217 Strem -Regions Served ..................................................................................................... 218 Strem -Key Customers ...................................................................................................... 218 Strem - Financial Overview .............................................................................................. 218 Strem - Manufacturing Locations, Capabilities, and Certifications ........................... 218 Strem -JVs and Partnerships ............................................................................................ 218 Strem -Sales Reps .............................................................................................................. 218 Strem -TECHCET Analyst Assessment .......................................................................... 219

8.19 Tanaka Kikinzoku Group ........................................................................................................... 219 Tanaka Kikinzoku Group - Company Overview ........................................................... 219 Tanaka Kikinzoku Group -Business Segments ................................................................ 220 Tanaka Kikinzoku Group - News ..................................................................................... 221 Tanaka Kikinzoku Group - Regions Served .................................................................... 221 Tanaka Kikinzoku Group - Key Customers ..................................................................... 222 Tanaka Holdings - Fiscal Year Financial Overview ....................................................... 222 Tanaka Kikinzoku Group - Manufacturing Locations, Capabilities, and

Certifications ................................................................................................................................... 222 Tanaka Kikinzoku Group - JVs and Partnerships ........................................................... 224 Tanaka Kikinzoku Group - Sales Reps and Distributors ................................................. 224 Tanaka Kikinzoku Group - TECHCET Analyst Assessment .......................................... 224

8.20 Tokyo Chemical Industry Co., Ltd. .......................................................................................... 225 TCI - Company Overview ................................................................................................ 225 TCI-Business Segments ..................................................................................................... 225 TCI- News ........................................................................................................................... 226 TCI - Regions Served ......................................................................................................... 226 TCI- Fiscal Year Financial Overview ................................................................................ 226 TCI- Manufacturing Locations, Capabilities, and Certifications ................................. 227 TCI-JVs and Partnerships .................................................................................................. 227 TCI-Sales Reps and Distributors ....................................................................................... 227 TCI-TECHCET Analyst Assessment .................................................................................... 227

8.21 Tri Chemical Laboratories ........................................................................................................ 228 Tri Chemical Laboratories Inc.- Company Overview ................................................... 228 Tri Chemical Laboratories Inc.-Business Segments ....................................................... 228 Tri Chemical Laboratories Inc.- News ............................................................................. 229 Tri Chemical Laboratories Inc. - Regions Served .......................................................... 229

Page 13: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

12

Tri Chemical Laboratories Inc.- Key Customers ............................................................ 229Tri Chemical Laboratories Inc.- Financial Overview ..................................................... 229Tri Chemical Laboratories Inc.- Manufacturing Locations, Capabilities, and

Certifications ................................................................................................................................... 230Tri Chemical Laboratories Inc.- JVs and Partnerships ................................................... 230Tri Chemical Laboratories Inc.- Sales Reps and Distributors ........................................ 231

Tri Chemical Laboratories Inc.- TECHCET Analyst Assessment ................................. 2318.22 Umicore ...................................................................................................................................... 232

Umicore - Company Overview ....................................................................................... 232Umicore - Business Segments .......................................................................................... 232Umicore - News ................................................................................................................ 233Umicore - Regions Served ............................................................................................... 234Umicore - Key Customers ................................................................................................ 234Umicore - Financial Overview ......................................................................................... 234Umicore - JVs and Partnerships ..................................................................................... 236Umicore - Sales Reps ....................................................................................................... 236Umicore - TECHCET Analyst Assessment ....................................................................... 236

8.23 UP Chemical/ Yoke (China HQ) .............................................................................................. 237UP Chemical- Company Overview ................................................................................ 237UP Chemical- Business Segments ................................................................................... 237UP Chemical- News .......................................................................................................... 238UP Chemical - Key Customers ........................................................................................ 238UP Chemical- Regions Served ........................................................................................ 238UP Chemical- Manufacturing Locations, Capabilities, and Certifications ................ 238UP Chemical- JVs and Partnerships ............................................................................... 239UP Chemical- Client/Partners ......................................................................................... 240UP Chemical- Sales Reps and Distributors ..................................................................... 240

UP Chemical- TECHCET Analyst Assessment .............................................................. 2418.24 VERSUM (Maker, Purifier, Supplier) - OLD Profile, now MERCK ............................................. 241

Versum - Company Overview ........................................................................................ 241VERSUM - Business Segments ........................................................................................... 242VERSUM- Financial Overview .......................................................................................... 242VERSUM - News ................................................................................................................ 243VERSUM- Manufacturing Locations, Capabilities, and Certifications ........................ 244VERSUM- JVs and Partnerships ........................................................................................ 244

Page 14: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

13

VERSUM- Sales Reps and Distributors .............................................................................. 244VERSUM- TECHCET Analyst Assessment .......................................................................... 245

8.25 Wonik Materials Co. Ltd. .......................................................................................................... 246Wonik Materials - Company Overview .......................................................................... 246Wonik Materials - Business Segments ............................................................................. 246Wonik Materials - News .................................................................................................... 249Wonik Materials - Regions Served ................................................................................... 250Wonik Materials - Key Customers .................................................................................... 250Wonik Materials - Financial Overview ............................................................................ 250Wonik Materials - Manufacturing Locations, Capabilities, and Certifications .......... 251Wonik Materials - JVs and Partnerships .......................................................................... 253Wonik Materials - Sales Reps and Distributors ............................................................... 253

Wonik Materials - TECHCET Analyst Assessment ........................................................ 2538.26 Zillion Tek Co., Ltd ...................................................................................................................... 254

Zillion Tek - Company Overview ..................................................................................... 254Zillion Tek -Business Segments .......................................................................................... 254Zillion Tek - News ............................................................................................................... 256Zillion Tek - Regions Served .............................................................................................. 256Zillion Tek - Key Customers ............................................................................................... 256Zillion Tek - Financial Overview ....................................................................................... 257Zillion Tek - Manufacturing Locations, Capabilities, and Certifications ..................... 257Zillion Tek - Sales Reps and Distributors ........................................................................... 257Zillion Tek - TECHCET Analyst Assessment ....................................................................... 257

9 APENDIX 1- FUNDAMENTALS OF THIN FILM DEPOSITION BY CVD, ALD, AND SOD, AND ASD AND ALE .............................................................................................................. 258

9.1 Chemical Vapor Deposition - CVD ......................................................................................... 2599.2 Atomic Layer Deposition – ALD ............................................................................................... 2619.3 Atomic Layer Etching (ALE) ..................................................................................................... 2629.4 Area Selective Deposition (ASD) ............................................................................................. 264

10 APPENDIX 2 LOGIC AND MEMORY TECHNOLOGIES AND ROADMAPS ....................... 266Multi-patterning & EUV Lithography ............................................................................... 266Interconnect Trends ......................................................................................................... 276

10.1.2.1 Copper Interconnect ............................................................................................... 27710.1.2.2 Cobalt interconnects, liners, and caps .................................................................. 27810.1.2.3 Ruthenium Interconnects ......................................................................................... 283

Page 15: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

14

10.1.2.4 High-aspect-ratio ruthenium power rails ................................................................ 28410.1.2.5 Manganese Barrier Metal ........................................................................................ 287

Logic Transistor Evolution ................................................................................................. 28710.1.3.1 5 nm and beyond ..................................................................................................... 29310.1.3.2 Extending FinFET to Horizontal Nanowires GAA FETs ............................................. 29410.1.3.3 Realizing vertical Logic - Going vertical (2.5/3D) .................................................. 300

Memory Evolution & Future Trends ................................................................................. 30210.1.4.1 DRAM .......................................................................................................................... 30310.1.4.2 2D to 3DNAND transition .......................................................................................... 30910.1.4.3 Alternative NVM Technologies ................................................................................ 312

10.1.4.3.1 3D XPoint .............................................................................................................. 31210.1.4.3.2 Ferroelectric FRAM and FeFET ............................................................................ 31310.1.4.3.3 Emerging Negative Capacitance Devices: .................................................... 315

11 APPENDIX 3 - ACRONYMS .............................................................................................. 318

TABLE OF FIGURES

FIGURE 1: THE TOTAL CVD/ALD METAL AND HIGH-K PRECURSOR MARKET 2015 TO 2025. .................................. 21

FIGURE 2: 2020 WAFER EQUIPMENT SEGMENTS, US$71 BILLION, WHERE OF US$15 BILLION IS CVD,

ALD, AND PVD. .................................................................................................................................................... 23

FIGURE 3: INSTALLED BASE AND 5-YEAR FORECAST OF CVD AND ALD CHAMBERS ESTIMATED BY

TECHCET (DECEMBER 2020) ............................................................................................................................... 24

FIGURE 4: GLOBAL ECONOMY AND THE ELECTRONICS SUPPLY CHAIN (2020 ...................................................... 34

FIGURE 5: WORLDWIDE SEMICONDUCTOR SALES .................................................................................................... 34

FIGURE 6: MONTHLY SALES TRENDS OF TAIWAN OUTSOURCE MANUFACTURERS ................................................. 35

FIGURE 7: SEMICONDUCTOR REVENUES 2021 .......................................................................................................... 36

FIGURE 8: SEMICONDUCTOR WAFER AREA SHIPMENTS ........................................................................................... 37

FIGURE 9: 2020 SEMICONDUCTOR CHIP APPLICATIONS ......................................................................................... 37

FIGURE 10: MOBILE PHONE SHIPMENTS WW ESTIMATES ........................................................................................... 38

FIGURE 11: PC NOTEBOOK SHIPMENTS ..................................................................................................................... 39

FIGURE 12: U.S. AUTOMOTIVE SALES .......................................................................................................................... 40

FIGURE 13: MONTHLY AUTOMOTIVE SALES TRENDS .................................................................................................. 41

FIGURE 14: GLOBAL EV TRENDS .................................................................................................................................. 42

FIGURE 15: SEMICONDUCTOR SPEND PER VEHICLE TYPE ........................................................................................ 43

FIGURE 16: SEMICONDUCTOR CONTENT BY AUTOMOTIVE APPLICATION ............................................................. 43

FIGURE 17: 3-MONTH AVERAGE SEMICONDUCTOR EQUIPMENT BILLINGS ............................................................ 45

FIGURE 18: CAPITAL SPENDING TRENDS BY TECHNOLOGY NODE .......................................................................... 46

Page 16: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

15

FIGURE 19: OVERVIEW OF LOGIC ROADMAP TRENDS ............................................................................................ 46FIGURE 20: CHINA IC MARKET AND PRODUCTION TRENDS ..................................................................................... 49FIGURE 21: - LEADING-EDGE DEVICE NODE WAFER STARTS .................................................................................... 52FIGURE 22: OTHER LOGIC (12NM & ABOVE) AND DEVICE WAFER STARTS ............................................................ 53FIGURE 23: GLOBAL SEMICONDUCTOR MATERIALS OUTLOOK ............................................................................... 54FIGURE 24: TECHCET WAFER STARTS BY TECHNOLOGY NODE AND DEVICE TYPE ................................................ 56FIGURE 25: THE TOTAL CVD/ALD METAL AND HIGH-K PRECURSOR MARKET 2015 TO 2025. ................................ 57FIGURE 26: INTEL AND FOUNDRY ROADMAPS .......................................................................................................... 60FIGURE 27: IMEC 2021 PROCESS AND MATERIALS CHANGES REQUIRED TO SHRINKING LOGIC DOWN TO SUB 2

NM. ....................................................................................................................................................................... 61FIGURE 28: TEM IMAGE OF A 2D DEVICE FABRICATED WITH 300MM PROCESSES AT IMEC, SHOWING A 2D WS2

CHANNEL DEVICE WITH AN 18-NM GATE LENGTH. .......................................................................................... 62FIGURE 29: IMEC 2021 EU ROADMAP SHRINKING LOGIC DOWN TO SUB 2 NM .................................................... 63FIGURE 30: A CROSS SECTION OF A 20-NM LINE / SPACE PATTERN BY INPRIA METAL OXIDE RESIS .................... 63FIGURE 31: SAMSUNG DRAM CELL SIZE AND D/R TRENDS ARE SHOWN IN ABOVE, INCLUDING D3X THROUGH

D1Z. DRAM CELL SIZE AND D/R SCALING ARE GETTING HARDER AND HARDER RECENTLY, HOWEVER

SAMSUNG REDUCED D/R TO 15.7 NM FOR D1Z, WHICH IS 8.2 % SCALING DOWN FROM D1Y. .................. 65FIGURE 32: PROCESS AND MATERIALS CHANGES REQUIRED TO SHRINKING 3DNAND UP TO 2XX LAYERS. ....... 66FIGURE 33: 3DNAND MANUFACTURER ROADMAPS. ................................................................................................ 67FIGURE 34: THE GLOBAL REACH OF CHINAS LEADING FOUNDRY SMIC AFTER TAKE OVERS AND INVESTMENTS

IN USA AND EUROPE ........................................................................................................................................... 68FIGURE 35: YANGTZE MEMORY TECHNOLOGIES (YMTC) 3D NAND CROSS SECTION WITH A 64-LAYER DEVICE.

.............................................................................................................................................................................. 69FIGURE 36: INTRODUCTION OF HIGH-Κ IN HIGH VOLUME PRODUCTION. .............................................................. 70FIGURE 37: THE TOTAL EMISSIONS AND ENERGY USE PROJECTION PER LOGIC NODE. ........................................ 76FIGURE 38: SEGMENTATION OF THE AMPOULE FLEER 2020 BASED ON NUMBER OF UNITS IN THE FIELD .............. 77FIGURE 39: PVD, CVD, AND ALD CHAMBER SHIPMENTS 2014, 2019, AND 2024F. ................................................. 79FIGURE 40: 2020 WAFER EQUIPMENT SEGMENTS, US$71 BILLION, WHEREOF 15 BILLION IS CVD, ALD, AND PVD.

.............................................................................................................................................................................. 80FIGURE 41: INSTALLED BASE AND 5 YEAR FORECAST OF CVD AND ALD CHAMBERS ESTIMATED BY TECHCET

FROM VLSI RESEARCH DATA (DECEMBER 2020) ............................................................................................... 81FIGURE 42: GRANTED CVD & ALD PATENTS TECHCET PATENT DATABASE APRIL 2021 .......................................... 82FIGURE 43: DOMESTIC CHINA SOLUTION FOR EUV FINFET PATTERNING SUING NAURA ETCH AND PIOTECH

PEALD. .................................................................................................................................................................. 84FIGURE 44 LITERATURE REVIEW 2021 OF MO-PRECURSORS PUBLICATIONS (ALD)., PAST 20 YEARS ..................... 87FIGURE 45. NUMBER OF PUBLICATIONS REPORTED FOR ALD OF RU 2021, PAST 20 YEARS. .................................. 88FIGURE 46. RUTHENIUM IP FILING BY PRECURSOR SUPPLIERS (TOP) AND OEMS (BOTTOM), PAST 20 YEARS. ...... 89FIGURE 47 HISTORICAL RUTHENIUM IP FILING SINCE THE 1985. LAST 18 MONTHS IS NOT COMPLETE. ................. 90FIGURE 48: TECHCET METAL AND HIGH-K PRECURSOR REVENUE 2014 TO 2025 ................................................... 92

Page 17: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

16

FIGURE 49 MARKET SHARE OF PRECURSOR COMPANIES FOR METAL AND HIGH-K PRECURSORS 2020. ............ 93FIGURE 50 REGIONAL MARKET SHARE OF PRECURSOR COMPANIES FOR METAL AND HIGH-K PRECURSORS

2020. ..................................................................................................................................................................... 94FIGURE 51: TUNGSTEN USE BY INDUSTRY 2020. ........................................................................................................ 104FIGURE 52: WF6 SUPPLY AND DEMAND 5-YEAR FORECAST. .................................................................................. 105FIGURE 53: TUNGSTEN MINE PRODUCTION 2015-2020. .......................................................................................... 108FIGURE 54: COBALT METAL PRICE. ........................................................................................................................... 111FIGURE 55: COBALT DEMAND. ................................................................................................................................. 112FIGURE 56: ZIRCONIUM ORES AND ZIRON CONCENTRATES, MINE PRODUCTION 2020. .................................... 116FIGURE 57: PGM PRICE DURING THE PANDEMIC. ................................................................................................... 122FIGURE 58: INSTALLED BASE OF WAFER PROCESSING CVD AND ALD CHAMBERS AND 5-YEAR FORECAST .... 258FIGURE 59: THE FUNDAMENTAL DIFFERENCES BETWEEN CONTINUOUS, PULSED, AND ATOMIC LAYER

PROCESSING. ..................................................................................................................................................... 260FIGURE 60: THE ALD DATABASE. ............................................................................................................................... 262FIGURE 61 GENERAL DESCRIPTION OF AN ALE PROCESS (TOP, ANISOTROPIC PLASMA ALE, AND ISOTROPIC

THERMAL ALE) AND GASES USED IN PLASMA ALE (BOTTOM). ...................................................................... 263FIGURE 62: THE ALE DATABASE. ................................................................................................................................ 264FIGURE 63: DESCRIPTION OF AREA SELECTIVE DEPOSITION (ASD). ....................................................................... 265FIGURE 64: SCALING OF LOGIC DEVICES. .............................................................................................................. 266FIGURE 65: COST OF SCALING ................................................................................................................................. 267FIGURE 66: DOUBLE PATTERNING BY INCREASES DENSITY SO-CALLED LELE FOR “LITHO-ETCH-LITHO-ETCH. .... 268FIGURE 67: SELF-ALIGNED QUADRUPLE PATTERNING (SAQP). .............................................................................. 269FIGURE 68: TO DEFINE PATTERN TRANSFER ON A WAFER, A HARD MASK HAS REQUIRED SUPPORTING AND

PROTECTING THE PHOTORESIST AGAINST COLLAPSE BEFORE THE ETCHING SUBSTRATE. THE HARD MASK

HAS A HIGH ETCHED PERFORMANCE BY HIGH CARBON CONTENT. PREVIOUSLY, A HARD MASK HAD

BEEN DEPOSITED BY CVD EQUIPMENT. THESE DAYS IT IS COATED BY SPIN COATER BECAUSE OF THE VOID

ISSUE OF THE CVD PROCESS. ............................................................................................................................ 270FIGURE 69: DIMENSIONAL SCALING UNDER PRESSURE .......................................................................................... 271FIGURE 70: IMEC TRANSISTOR ARCHITECTURE ROADMAP AND EUV IMPLEMENTATION UPDATED 2021 .......... 271FIGURE 71: EPE IS THE DIFFERENCE BETWEEN THE INTENDED AND THE PRINTED FEATURES OF AN IC LAYOUT.

SHRINKING DIMENSIONS EXACERBATE EPE ISSUES. ........................................................................................ 272FIGURE 72: IBM 2NM COMPARED WITH 3 NM FOUNDRY NODES .......................................................................... 274FIGURE 73: LOCAL AND GLOBAL INTERCONNECTS. .............................................................................................. 276FIGURE 74: THE INNOVATIVE COPPER METALLIZATION TECHNIQUE BY IBM FROM 1997 PRODUCED A CHIP WITH

SIX LAYERS OF COPPER CIRCUITRY WITH CIRCUIT LINE WIDTHS OF 0.20 MICRONS. .................................. 277FIGURE 75: EXTENDING COPPER REQUIRES CO-OPTIMIZATION OF ALD BARRIERS WITH THINNER LINERS AND

NEW FILL TECHNOLOGY TO MAXIMIZE CONDUCTOR VOLUME (LOW LINE R) AND MINIMIZE INTERFACE

RESISTANCE (LOW VIA R). ................................................................................................................................. 278

Page 18: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

17

FIGURE 76: INTRODUCTION OF CO CVD ENCAPSULATION AND TRANSITION TO COBALT CONTACTS AND

LOCAL INTERCONNECTS. .................................................................................................................................. 279

FIGURE 77: INTEL INTERCONNECT STACK AS DESCRIBES AT IEDM 2017(LEFT) AND SEM CROSS-SECTION (RIGHT)

AND FEATURES 12-METAL INTERCONNECT LAYERS WITH THE BOTTOM TWO MADE OF COBALT. THIS IS THE

FIRST-TIME COBALT USED IN A HIGH-VOLUME PRODUCTION NODE. ........................................................... 280

FIGURE 78: 10NM VIA STRUCTURE FILLED WITH COBALT SHOWS NO SEAMS. ....................................................... 281

FIGURE 79: APPLE A11 RESP. A12 FABRICATED USING TSMC 10 RES. 7 NM SHOWING THE INTRODUCTION OF

CO CONTACTS (BLUE) WITH THE TIN BARRIER AT 7 NM (APPLE A12). ........................................................... 282

FIGURE 80: APPLIED MATERIALS PVD/ALD/CVD-DEPOSITION SOLUTION FOR COBALT FILL. .............................. 282

FIGURE 81: SCHEMATIC REPRESENTATION OF A BURIED POWER RAIL CONSTRUCT ............................................ 284

FIGURE 82: THE RESISTANCE OF A RU FILLED VIA ON A 0.3NM TIN LINER (WITHOUT BARRIER) WAS SHOWN TO

OUTPERFORM THE CO FILLED EQUIVALENT PROCESS (WITH 1.5NM TAN BARRIER). RU AS A SOURCE/DRAIN

CONTACT MATERIAL WAS ALSO DEMONSTRATED, WITH LOW CONTACT RESISTIVITY IN THE ORDER OF 10-

9ΩCM-2 ON BOTH P-SIGE AND N-SI. ............................................................................................................... 285

FIGURE 83: IMEC AND LAM RESEARCH JOINT WORK ON RU BPR. ........................................................................ 286

FIGURE 84: ONE WAY TO SHRINK THE NANOSIZED WIRES (CROSS-SECTIONS SHOWN HERE) THAT

INTERCONNECT ELECTRONIC CIRCUIT COMPONENTS IS TO REPLACE THE “THICK” TANTALUM NITRIDE-LIKE

FILM USED TODAY TO ENCAPSULATE THE COPPER CORE (LEFT) WITH A THINNER MANGANESE SILICATE

FILM MADE VIA ALD. ......................................................................................................................................... 287

FIGURE 85: THE EVOLUTION OF HIGH-Κ / METAL GATE TRANSISTORS, FROM THE FIRST PLANAR 45 NM TO THE 14

NM NODE. .......................................................................................................................................................... 288

FIGURE 86: COMPARISON OF CMOS TRANSISTOR USED TODAY. (A) PLANAR, (B) FD-SOI, AND (C) FINFET. ... 289

FIGURE 87: POSSIBLE USES FOR DIELECTRICS IN STATE OF THE ART 10 NM FINFET TECHNOLOGY: LOW-Κ, HIGH-Κ,

LINERS, AND EVEN DIELECTRIC AIR GAPS. ...................................................................................................... 290

FIGURE 88: INTEL 10NM AND FOUNDRY 7NM LOGIC PROCESS NODES COMPARED. ........................................ 291

FIGURE 89: AFTER THE INTRODUCTION AT 22 NM BY INTEL, TALLER FIN HEIGHT AND NARROWER FIN WIDTH

LEADS TO A MORE VERTICAL PROFILE IN 14 NM AND 10 NM. ...................................................................... 292

FIGURE 90: THE TSMC FOUNDRY DELIVERED TECHNOLOGIES AND LOGIC NODE ROADMAP .......................... 292

FIGURE 91: LEADING-EDGE SUB 45 NM LOGIC WAFER STARTS, HISTORICAL AND FORECASTED. ...................... 293

FIGURE 92: SCHEMATIC OF PARTIAL AIR SPACERS (LEFT), AND TEM CROSS-SECTION OF FINFET GATES,

SHOWING SPACERS BETWEEN GATES AND CONTACTS ................................................................................. 294

FIGURE 93: A GATE-ALL-AROUND FET THAT COULD COME INTO PLAY AT 5 OR 3 NM. ...................................... 295

FIGURE 94: IMEC CMOS ROADMAP TO N1 AND BEYOND. ................................................................................... 296

FIGURE 95: IMEC HAS ACHIEVED THE CMOS INTEGRATION OF VERTICALLY STACKED GATE-ALL-AROUND

(GAA) SILICON NANOWIRE MOSFETS. ............................................................................................................. 297

FIGURE 96: THREE PRINCIPAL CROSS-SECTION SIMULATION OF (A) FINFET, (B) NANOWIRE, AND (C)

NANOSHEET. ....................................................................................................................................................... 297

FIGURE 97: TEM CROSS-SECTION OF 5NM-NODE GAA-FETS BY IBM, SAMSUNG, AND GLOBALFOUNDRIES. ... 298

FIGURE 98: TEM CROSS SECTION OF IBMS ANNOUNCED 2 NM GAA-FET TECHNOLOGY. ................................. 299

Page 19: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

18

FIGURE 99: LETI ROADMAP FOR INTRODUCING MONOLITHIC 3D LOGIC SCALING AT 5 NM ............................ 300FIGURE 100. STACKING FINFETS ON FINFETS. ........................................................................................................... 301FIGURE 101: ROADMAP FOR 3D SOC AND 3D LOGIC POST 3NM CMOS NODE. ............................................... 302FIGURE 102: DEVICE INTEGRATION FOR CURRENT AND EMERGING MEMORY TECHNOLOGIES. ...................... 303FIGURE 103: DRAM CAPACITOR DEVELOPMENT, HISTORICAL. ............................................................................. 304FIGURE 104: ANNOUNCED DRAM NODES BY THE TOP THREE COMPANIES, SAMSUNG, SK HYNIX, AND

MICRON (ELPIDA, NANYA). WINBOND AND THE CHINESE DRAM MANUFACTURES ARE TRAILING AT 2X NM

............................................................................................................................................................................ 305FIGURE 105: COVID-19 IMPACT OF THE SLOWDOWN ON DIFFERENT MARKET SEGMENTS. ................................ 306FIGURE 106: CUT THROUGH A GRAPHICS CARD THAT USES HIGH BANDWIDTH MEMORY ................................. 308FIGURE 107: DEEP TRENCH CAPACITORS (DTCS) AS EDRAM TECHNOLOGY NODE AND CELL SIZE TRENDS

FROM IBM UP TO DATE. ..................................................................................................................................... 309FIGURE 108: THE IMPLICATIONS OF THE TRANSITION TO 3DNAND, FEWER DIELECTRICS FOR MULTIPLE

PATTERNING BUT MORE FOR THE MULTILAYER STACK AS WELL AS ETCH HARD MASKS (APPLIED

MATERIALS). ........................................................................................................................................................ 310FIGURE 109: RAMP OF 3DNAND TECHNOLOGIES THROUGH LATERAL SCALING BY STRING STACKING ........... 311FIGURE 110: NAND TECHNOLOGY ROADMAP ....................................................................................................... 312FIGURE 111: FERROELECTRIC HAFNIUM OXIDE BY ALD CAN BE INTEGRATED INTO 3D CAPACITORS (FRAM) IN

BEOL AS WELL AS IN A FEOL HKMG STACK (FEFETS). ...................................................................................... 314FIGURE 112: FERROELECTRIC HFO2 DEVELOPMENT: MATERIALS, DOPANTS, AND PRECURSORS. ..................... 315FIGURE 113: EMERGING NEGATIVE CAPACITANCE DEVICES. .............................................................................. 316FIGURE 114: AN OVERVIEW OF EMERGING MEMORY TECHNOLOGIES THAT HAVE BEEN ANNOUNCED

BY THE MAJOR PLAYERS. ................................................................................................................................... 317

TABLES TABLE 1: LEADING EDGE LOGIC CHIP MANUFACTURERS STATUS ........................................................................... 22TABLE 2: 2020 TECHCET CRITICAL MATERIALS REPORTS™ ........................................................................................ 31TABLE 3: GLOBAL GDP AND SEMICONDUCTOR REVENUES* ................................................................................... 32TABLE 4: IMF WORLD ECONOMIC OUTLOOK ............................................................................................................ 33TABLE 5: DATA CENTER SYSTEMS AND COMMUNICATION SERVICES FORECAST 2021 ......................................... 44TABLE 6: RECENT INVESTMENT AND FAB/PLANT EXPANSION ACTIVITY ................................................................... 47TABLE 7: US CHIPS ACT PROVISIONS .......................................................................................................................... 51TABLE 8: SUB-TIER MATERIALS ISSUES ........................................................................................................................... 58TABLE 9 CVD AND ALD OEM TECHNOLOGY AS PRODUCT. .................................................................................... 83TABLE 10. METALLIZATION FOR LOGIC 10 DOWN TO 2 NM AND BELOW ............................................................... 85TABLE 11. FOUNDRY LOGIC ROADMAP - METALLIZATION FOR LOGIC 10 DOWN TO 2 NM AND BELOW

ALIGNED WITH TSMC AND SAMSUNG NODE NOMENCLATURE. ..................................................................... 86TABLE 12: THE LINDE-PRAXAIR MERGER DIVESTMENTS. ............................................................................................ 96

Page 20: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

19

TABLE 13: IDENTIFIED COBALT RESOURCES OF THE UNITED STATES ARE ESTIMATED TO BE ABOUT 1 MILLION

TONS. THE VAST MAJORITY OF THESE RESOURCES ARE IN SEDIMENT-HOSTED STRATIFORM COPPER

DEPOSITS IN CONGO (KINSHASA) AND ZAMBIA; NICKEL-BEARING LATERITE DEPOSITS IN AUSTRALIA AND

NEARBY ISLAND COUNTRIES AND CUBA; AND MAGMATIC NICKEL-COPPER SULFIDE DEPOSITS HOSTED IN

MAFIC AND ULTRAMAFIC ROCKS IN AUSTRALIA, CANADA, RUSSIA, AND THE UNITED STATES. MORE THAN

120 MILLION TONS OF COBALT RESOURCES HAVE BEEN IDENTIFIED IN MANGANESE NODULES AND

CRUSTS ON THE FLOOR OF THE ATLANTIC, INDIAN, AND PACIFIC OCEANS. .............................................. 110TABLE 14: WORLD RESOURCES OF NIOBIUM ARE MORE THAN ADEQUATE TO SUPPLY PROJECTED NEEDS. MOST

OF THE WORLD'S IDENTIFIED RESOURCES OF NIOBIUM OCCUR AS PYROCHLORE IN CARBONATITE—THE

RESERVES DATA FOR THE UNITED STATES, BRAZIL, AND CANADA. ................................................................ 114TABLE 15: IDENTIFIED WORLD RESOURCES OF TANTALUM, MOST OF WHICH ARE IN AUSTRALIA, BRAZIL, AND

CANADA, ARE CONSIDERED ADEQUATE TO SUPPLY PROJECTED NEEDS. THE UNITED STATES HAS ABOUT

55,000 TONS OF TANTALUM RESOURCES IN IDENTIFIED DEPOSITS, MOST OF WHICH WERE CONSIDERED

UNECONOMICAL AT 2020 PRICES FOR TANTALUM. ....................................................................................... 115TABLE 16: RESOURCES OF ZIRCON IN THE UNITED STATES INCLUDED ABOUT 14 MILLION TONS ASSOCIATED

WITH TITANIUM RESOURCES IN HEAVY-MINERAL-SAND DEPOSITS. PHOSPHATE ROCK AND SAND AND

GRAVEL DEPOSITS COULD POTENTIALLY YIELD SUBSTANTIAL AMOUNTS OF ZIRCON AS A BYPRODUCT.

WORLD RESOURCES OF HAFNIUM ARE ASSOCIATED WITH THOSE OF ZIRCON AND BADDELEYITE.

QUANTITATIVE ESTIMATES OF HAFNIUM RESOURCES ARE NOT AVAILABLE. ................................................. 117TABLE 17: RARE EARTHS ARE RELATIVELY ABUNDANT IN THE EARTH’S CRUST, BUT MINABLE CONCENTRATIONS

ARE LESS COMMON THAN FOR MOST OTHER MINERAL COMMODITIES. IN NORTH AMERICA, MEASURED

AND INDICATED RESOURCES OF RARE EARTHS WERE ESTIMATED TO INCLUDE 2.7 MILLION TONS IN THE

UNITED STATES AND MORE THAN 15 MILLION TONS IN CANADA. ................................................................ 119TABLE 18: WORLD RESOURCES OF PGMS ARE ESTIMATED TO TOTAL MORE THAN 100 MILLION KILOGRAMS. THE

LARGEST RESERVES ARE IN THE BUSHVELD COMPLEX IN SOUTH AFRICA. .................................................... 121TABLE 19: OEM TOOL SETS FOR SUB-5 NM LOGIC DEVICES. .................................................................................. 299TABLE 20: CRITICAL THERMAL BUDGET STEPS SUMMARY IN A PLANAR FDSOI INTEGRATION AND 3D COOLCUBE

PROCESS FOR TOP FET IN 3DVLSI. .................................................................................................................... 301

Page 21: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

30

2 SCOPE, PURPOSE, AND METHODOLOGY

2.1 SCOPE

This report provides market and technical trend information on organic and inorganic gases,

liquid and solid precursors addressing CVD, ALD, and SOD techniques. For the last 20 years,

there have been many research papers and patents published regarding ALD and CVD

precursors specifically for the semiconductor industry. The report covers in detail the long

research and development path for new precursors and the processes to pass EHS and

regulatory hurdles for these materials to enter into high volume manufacturing (HVM).

The focus is on the leading-edge front end of the line, and insulating interconnect materials,

including sacrificial layers, hard masks, mandrel, and etch stop layers. These process areas are

of interest because of the high growth potential associated with leading-edge logic <45 nm,

28 nm to 10/7 nm nodes, and the future 5 & 3 nm nodes, as well as advanced DRAM and

3DNAND volatile and non-volatile memories. New memory technologies like STT-MRAM,

Resistive RAM, Ferroelectric RAM, and FETs, and Cross Point Memory will emerge in the coming

5 years. Today the recent NAND transition to 3DNAND and continued vertical scaling will drive

growth for metal precursors.

2.2 PURPOSE

This Critical Materials Report (CMR™) provides focused information for supply-chain managers,

process integration, and R&D directors, as well as business and financial analysts. The report

covers information about key suppliers, issues/trends in the material supply chain, estimates on

supplier market share, and forecasts for the material segments.

2.3 METHODOLOGY

TECHCET employs subject matter experts having first-hand experience within the industries

which they analyze. Most of TECHCET's analysts have over 25 years of direct and relevant

experience in their field. Our analysts survey the commercial and technical staff of IC

manufacturers and their suppliers and conduct extensive research of literature and

commerce statistics to ascertain the current and future market environment and global supply

risks. Combining this data with TECHCET's proprietary, quantitative wafer forecast results in a

viable long-term market forecast for various process materials.

Page 22: 2021 CVD, ALD & SOD PRECURSORS

TECHCET-CMR-ALD HIK-CMCF-071421LS TECHCET Clients & CMC Members Confidential Copyright TECHCET CA, LLC 2021 all rights reserved www.techcet.com

31

2.4 OVERVIEW OF OTHER TECHCET CMR™ REPORTS

TECHCET produces electronic material supply chain reports each year as one of its functions

for the Critical Materials Council. Reports to be published in 2020 can be found at

www.techcet.com and are listed in the table below:

Table 2: 2020 TECHCET Critical Materials Reports™