a comprehensive range of radiation hardened integrated ... · a comprehensive range of radiation...

12
Rad Hard Microelectronics A comprehensive range of radiation hardened integrated circuit solutions SHORT-FORM PRODUCT CATALOG

Upload: lynga

Post on 12-Apr-2018

219 views

Category:

Documents


5 download

TRANSCRIPT

Page 1: A comprehensive range of radiation hardened integrated ... · A comprehensive range of radiation hardened integrated circuit solutions ... Honeywell – Your Total Integrated Circuit

Rad Hard Microelectronics

A comprehensive range of radiation hardened integrated circuit solutions

SHORT-FORM PRODUCT CATALOG

Page 2: A comprehensive range of radiation hardened integrated ... · A comprehensive range of radiation hardened integrated circuit solutions ... Honeywell – Your Total Integrated Circuit

Honeywell – Your Total Integrated Circuit (IC) Provider

SOI CMOS Delivers Low Noise And High Performance Ideal for Analog and Mixed Signal ICs

To endure harsh environments in space,

including total dose radiation, transient

phenomena and extreme temperatures,

aerospace systems require intelligent,

radiation-hardened components.

Honeywell’s products and foundry services

offer benefits beyond a high reliability

radiation hardened construction to ensure

systems perform accurately under severe

radiation environments and operating

conditions. Honeywell’s Silicon On

Insulator (SOI) CMOS process offers high

levels of integration, minimized power

dissipation and high performance to

enable reliable, cost-effective products for

space military and commercial markets.

Our customers benefit from Honeywell’s

ASIC, System-on-Chip (SoC) and

MultiChip Module solutions to facilitate

reduction in size, weight and power.

Honeywell is the first facility to offer

150-nanometer radiation hardened

technology in the world. When coupled

with our patented SOI process, our

products are the most reliable, high

performing integrated circuits available

in the industry. Best of all, our customers

benefit from the reliability and cost-

effective advantages of our leading

technologies.

Value-added services

Whether your needs are on a project-by-

project or ongoing basis, we provide the

flexibility you need by offering a complete

package of engineering and production

services:

• Design services – advanced design

expertise and customer support to

ensure success of your strategic ICs,

from existing, standard designs to next-

generation, high-density standard cell

and gate array ASICs.

• Test services – cost-effective testing

of your products using advanced test

hardware and software tools. Our test

data management system combines

the hardware for data storage, mapping,

analysis and customized reporting.

• Packaging services – packages

manufactured and screened to meet

the demanding electrical, thermal

and radiation requirements of space

and military applications.

As the Future BringsMore Options, WeProduce Flexible,Complete SolutionsOver the past three decades, Honeywell

has been a leading provider of high

reliability Integrated Circuit (IC) solutions

for aerospace using advanced

technologies designed to withstand

the harshest of elements produced

by aerospace environments.

To ensure future generation products

launched into space and integrated

into military systems can stand the test

of time, we continue to expand our

technology capabilities, ASIC platforms,

design capabilities and standard products.

Honeywell has a 150 nanometer* SOI

process for development of multi-million

gate HX5000 radiation hardened and

radiation tolerant ICs. HX5000 is

supported by the Synopsys ASIC

design tools and flow. This technology

has also spawned higher density

SRAM/MRAM products, 3.18Gb/s

SERDES macros and cost efficient

Structure ASICs.

By optimizing our unique, reliable

technology, Honeywell will enable

commercial and military customers

to produce the highest performing,

reliable products needed for electronic

computer systems in satellites, strategic

missiles, space borne systems and

tactical applications.

By partnering with Honeywell for your

program products or foundry services,

you’re teaming up with a world leader

in SOI technology with over 30 years of

experience in specialized IC designing,

development and manufacturing.

150nm Radiation Hardened QML Process and Products

• 3Gb/s SERDES

• 14 Bit ADC, 125MS/s

• Digital ASICs up to 15M gates

• 16M Monolithic SRAM

RADIATION TYPE SPECIFICATION

Total Dose > 1Mrad

Dose Rate Survivability > 1x1012 rad(Si)/s

Dose Rate Upset > 1x1010 rad(Si)/s

Neutron ≥ 1x1014 N/cm2

Latchup SOI CMOS Immune to latchup

Single Event Upset < 1x10-15 Data Bit Errors/Bits Sent (SERDES)

2 3

Page 3: A comprehensive range of radiation hardened integrated ... · A comprehensive range of radiation hardened integrated circuit solutions ... Honeywell – Your Total Integrated Circuit

Honeywell – Your Total Integrated Circuit (IC) Provider

SOI CMOS Delivers Low Noise And High Performance Ideal for Analog and Mixed Signal ICs

To endure harsh environments in space,

including total dose radiation, transient

phenomena and extreme temperatures,

aerospace systems require intelligent,

radiation-hardened components.

Honeywell’s products and foundry services

offer benefits beyond a high reliability

radiation hardened construction to ensure

systems perform accurately under severe

radiation environments and operating

conditions. Honeywell’s Silicon On

Insulator (SOI) CMOS process offers high

levels of integration, minimized power

dissipation and high performance to

enable reliable, cost-effective products for

space military and commercial markets.

Our customers benefit from Honeywell’s

ASIC, System-on-Chip (SoC) and

MultiChip Module solutions to facilitate

reduction in size, weight and power.

Honeywell is the first facility to offer

150-nanometer radiation hardened

technology in the world. When coupled

with our patented SOI process, our

products are the most reliable, high

performing integrated circuits available

in the industry. Best of all, our customers

benefit from the reliability and cost-

effective advantages of our leading

technologies.

Value-added services

Whether your needs are on a project-by-

project or ongoing basis, we provide the

flexibility you need by offering a complete

package of engineering and production

services:

• Design services – advanced design

expertise and customer support to

ensure success of your strategic ICs,

from existing, standard designs to next-

generation, high-density standard cell

and gate array ASICs.

• Test services – cost-effective testing

of your products using advanced test

hardware and software tools. Our test

data management system combines

the hardware for data storage, mapping,

analysis and customized reporting.

• Packaging services – packages

manufactured and screened to meet

the demanding electrical, thermal

and radiation requirements of space

and military applications.

As the Future BringsMore Options, WeProduce Flexible,Complete SolutionsOver the past three decades, Honeywell

has been a leading provider of high

reliability Integrated Circuit (IC) solutions

for aerospace using advanced

technologies designed to withstand

the harshest of elements produced

by aerospace environments.

To ensure future generation products

launched into space and integrated

into military systems can stand the test

of time, we continue to expand our

technology capabilities, ASIC platforms,

design capabilities and standard products.

Honeywell has a 150 nanometer* SOI

process for development of multi-million

gate HX5000 radiation hardened and

radiation tolerant ICs. HX5000 is

supported by the Synopsys ASIC

design tools and flow. This technology

has also spawned higher density

SRAM/MRAM products, 3.18Gb/s

SERDES macros and cost efficient

Structure ASICs.

By optimizing our unique, reliable

technology, Honeywell will enable

commercial and military customers

to produce the highest performing,

reliable products needed for electronic

computer systems in satellites, strategic

missiles, space borne systems and

tactical applications.

By partnering with Honeywell for your

program products or foundry services,

you’re teaming up with a world leader

in SOI technology with over 30 years of

experience in specialized IC designing,

development and manufacturing.

150nm Radiation Hardened QML Process and Products

• 3Gb/s SERDES

• 14 Bit ADC, 125MS/s

• Digital ASICs up to 15M gates

• 16M Monolithic SRAM

RADIATION TYPE SPECIFICATION

Total Dose > 1Mrad

Dose Rate Survivability > 1x1012 rad(Si)/s

Dose Rate Upset > 1x1010 rad(Si)/s

Neutron ≥ 1x1014 N/cm2

Latchup SOI CMOS Immune to latchup

Single Event Upset < 1x10-15 Data Bit Errors/Bits Sent (SERDES)

2 3

Page 4: A comprehensive range of radiation hardened integrated ... · A comprehensive range of radiation hardened integrated circuit solutions ... Honeywell – Your Total Integrated Circuit

Static Random Access Memory (SRAM)PART NUMBER CONFIGURATION VOLTAGE (V) MAXIMUM ACCESS/CLOCK (NS) TOTAL DOSE

(RAD[SI])SINGLE-EVENT UPSET (UPSETS/BIT-DAY)

PROMPT-DOSE UPSET (RAD[SI]/S)

PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE QUALIFIED SMD NO.

HX6256 32k x8 5.0 <25 1M <1x10-10 ≥1x109 ≥1x1011 Immune 28 CQFP36 CQFP

QML V, Q 5962-95845

HLX6256 32k x8 3.3 <25 1M <1x10-10 ≥1x109 ≥1x1011 Immune 28 CQFP 36 CQFP

HX6356 32k x8 5.0 <25 1M <1x10-10 ≥1x1011 ≥1x1012 Immune 28 CQFP 36 CQFP

5962-95845

HX6228 128k x8 5.0 <25 1M <1x10-10 ≥1x1011 ≥1x1012 Immune 32 CQFP 40 CQFP

QML V, Q 5962-98537

HLX6228 128k x8 3.3 <25 1M <1x10-10 ≥1x1011 ≥1x1012 Immune 32 CQFP 40 CQFP

HX6136 1k x36 FIFO 5.0 R: <36, W: <24 1M <1x10-10 ≥1x109 ≥1x1011 Immune 132 CQFP

HX6218 2k x18 FIFO 5.0 R: <36, W: <24 1M <1x10-10 ≥1x109 ≥1x1011 Immune 68 CQFP

HX6409 4k x9 FIFO 5.0 R: <36, W: <24 1M <1x10-10 ≥1x109 ≥1x1011 Immune 32 CQFP

HX6408 512k x8 3.3 <20 1M <1x10-10 ≥1x1010 ≥1x1012 Immune 36 CQFP QML V, Q 5962-06203

HXS6408 512k x8 1.8 or 3.3 R: <15, W: <10 1M <1x10-10 ≥1x109 ≥1x1011 Immune 36 CQFP QML V, Q 5962-08215

HRT6408 512k x8 1.8 or 3.3 R: <15, W: <10 300k <1x10-10 ≥1x109 ≥1x1011 Immune 36 CQFP

HXSR01608 2M x8 1.8, 2.5, 3.3 R: <20, W: <12 1M <1x10-12 ≥1x1010 ≥1x1012 Immune 40 CQFP QML V, Q 5962-08202

HLXSR01608 2M x8 1.5, 3.3 R: <25, W: <12 1M <1x10-12 ≥1x1010 ≥1x1012 Immune 86 CQFP QML V, Q 5962-08202

HXSR01632 512k x32 1.8, 2.5, 3.3 R: <20, W: <12 1M <1x10-12 ≥1x1010 ≥1x1012 Immune 86 CQFP QML V, Q 5962-08203

HLXSR01632 512k x32 1.5, 3.3 R: <25, W: <12 1M <1x10-12 ≥1x1010 ≥1x1012 Immune 86 CQFP QML V, Q 5962-08203

HXSR06432 2M x32 1.8, 2.5, 3.3 R: <20, W: <12 1M <1x10-12 ≥1x1010 ≥1x1012 Immune 86 CQFP QML V, Q

Non-Volatile Magneto-Resistive Random Access Memory (MRAM)PART NUMBER CONFIGURATION VOLTAGE (V) MAXIMUM ACCESS/CLOCK (NS) TOTAL DOSE

(RAD[SI])SINGLE-EVENT UPSET(UPSETS/BIT-DAY)

PROMPT-DOSE UPSET (RAD[SI]/S)

PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE QUALIFIED SMD NO.

HXNV0100 64k x16 1.8, 3.3 R: <80, W: <140 1M <1x10-10 ≥1x109 ≥1x1012 Immune 64 CQFP QML V, Q Not yet available

HXNV01600 16Mb (x8 or x16) 3.3 R: <90, W: <150 1M <1x10-10 ≥1x109 ≥1x1012 Immune 76 CQFP

Digital LogicPART NUMBER CONFIGURATION VOLTAGE (V) PROP DELAY (NS) TOTAL DOSE

(RAD[SI])SINGLE-EVENT UPSET(UPSETS/BIT-DAY)

PROMPT-DOSE UPSET (RAD[SI]/S)

PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE QUALIFIED SMD NO.

HXNAND00 Quad 2-input 3.3 4.0 (typ), 6.6 (max) 300k NA 1x109 1x1012 Immune 14 pin CFP QML V, Q 5962-07A07

PART NUMBER CONFIGURATION VOLTAGE (V) CLOCK FREQUENCY (MHZ) TOTAL DOSE (RAD[SI])

SINGLE-EVENT UPSET (UPSETS/DEVICE-DAY)

PROMPT-DOSE UPSET (RAD[SI]/S)

PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE QUALIFIED SMD NO.

HX1750 16 bit 5.0 40 100k <1x10-5 NA NA Immune 121 PGA112 LCC100 PGA

QML Q 5962-05207

RHPPC 32 bit (603e) 3.3 80 300k <1x10-5 NA NA Immune 240 CQFP255 LGA/BGA

QML V, Q 5962-07A01

SRAM and Non-Volatile MRAM Memory Products

For high speed, low power consumption

data storage applications, including

strategic systems, Honeywell’s SOI

Static Random Access Memory (SRAM)

and Non-Volatile Magneto-Resistive RAM

(MRAM) family provides reliable solutions.

Our SRAM product family is fabricated

with our patented SOI CMOS technology

and is available in sizes ranging from

256k bits to 16 Mbits in a monolithic

chip, with 64 Mbits and greater in

MultiChip Modules (MCM).

Notes: R= READ, W=WRITE

Processors

4 5

Page 5: A comprehensive range of radiation hardened integrated ... · A comprehensive range of radiation hardened integrated circuit solutions ... Honeywell – Your Total Integrated Circuit

Static Random Access Memory (SRAM)PART NUMBER CONFIGURATION VOLTAGE (V) MAXIMUM ACCESS/CLOCK (NS) TOTAL DOSE

(RAD[SI])SINGLE-EVENT UPSET (UPSETS/BIT-DAY)

PROMPT-DOSE UPSET (RAD[SI]/S)

PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE QUALIFIED SMD NO.

HX6256 32k x8 5.0 <25 1M <1x10-10 ≥1x109 ≥1x1011 Immune 28 CQFP36 CQFP

QML V, Q 5962-95845

HLX6256 32k x8 3.3 <25 1M <1x10-10 ≥1x109 ≥1x1011 Immune 28 CQFP 36 CQFP

HX6356 32k x8 5.0 <25 1M <1x10-10 ≥1x1011 ≥1x1012 Immune 28 CQFP 36 CQFP

5962-95845

HX6228 128k x8 5.0 <25 1M <1x10-10 ≥1x1011 ≥1x1012 Immune 32 CQFP 40 CQFP

QML V, Q 5962-98537

HLX6228 128k x8 3.3 <25 1M <1x10-10 ≥1x1011 ≥1x1012 Immune 32 CQFP 40 CQFP

HX6136 1k x36 FIFO 5.0 R: <36, W: <24 1M <1x10-10 ≥1x109 ≥1x1011 Immune 132 CQFP

HX6218 2k x18 FIFO 5.0 R: <36, W: <24 1M <1x10-10 ≥1x109 ≥1x1011 Immune 68 CQFP

HX6409 4k x9 FIFO 5.0 R: <36, W: <24 1M <1x10-10 ≥1x109 ≥1x1011 Immune 32 CQFP

HX6408 512k x8 3.3 <20 1M <1x10-10 ≥1x1010 ≥1x1012 Immune 36 CQFP QML V, Q 5962-06203

HXS6408 512k x8 1.8 or 3.3 R: <15, W: <10 1M <1x10-10 ≥1x109 ≥1x1011 Immune 36 CQFP QML V, Q 5962-08215

HRT6408 512k x8 1.8 or 3.3 R: <15, W: <10 300k <1x10-10 ≥1x109 ≥1x1011 Immune 36 CQFP

HXSR01608 2M x8 1.8, 2.5, 3.3 R: <20, W: <12 1M <1x10-12 ≥1x1010 ≥1x1012 Immune 40 CQFP QML V, Q 5962-08202

HLXSR01608 2M x8 1.5, 3.3 R: <25, W: <12 1M <1x10-12 ≥1x1010 ≥1x1012 Immune 86 CQFP QML V, Q 5962-08202

HXSR01632 512k x32 1.8, 2.5, 3.3 R: <20, W: <12 1M <1x10-12 ≥1x1010 ≥1x1012 Immune 86 CQFP QML V, Q 5962-08203

HLXSR01632 512k x32 1.5, 3.3 R: <25, W: <12 1M <1x10-12 ≥1x1010 ≥1x1012 Immune 86 CQFP QML V, Q 5962-08203

HXSR06432 2M x32 1.8, 2.5, 3.3 R: <20, W: <12 1M <1x10-12 ≥1x1010 ≥1x1012 Immune 86 CQFP QML V, Q

Non-Volatile Magneto-Resistive Random Access Memory (MRAM)PART NUMBER CONFIGURATION VOLTAGE (V) MAXIMUM ACCESS/CLOCK (NS) TOTAL DOSE

(RAD[SI])SINGLE-EVENT UPSET(UPSETS/BIT-DAY)

PROMPT-DOSE UPSET (RAD[SI]/S)

PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE QUALIFIED SMD NO.

HXNV0100 64k x16 1.8, 3.3 R: <80, W: <140 1M <1x10-10 ≥1x109 ≥1x1012 Immune 64 CQFP QML V, Q Not yet available

HXNV01600 16Mb (x8 or x16) 3.3 R: <90, W: <150 1M <1x10-10 ≥1x109 ≥1x1012 Immune 76 CQFP

Digital LogicPART NUMBER CONFIGURATION VOLTAGE (V) PROP DELAY (NS) TOTAL DOSE

(RAD[SI])SINGLE-EVENT UPSET(UPSETS/BIT-DAY)

PROMPT-DOSE UPSET (RAD[SI]/S)

PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE QUALIFIED SMD NO.

HXNAND00 Quad 2-input 3.3 4.0 (typ), 6.6 (max) 300k NA 1x109 1x1012 Immune 14 pin CFP QML V, Q 5962-07A07

PART NUMBER CONFIGURATION VOLTAGE (V) CLOCK FREQUENCY (MHZ) TOTAL DOSE (RAD[SI])

SINGLE-EVENT UPSET (UPSETS/DEVICE-DAY)

PROMPT-DOSE UPSET (RAD[SI]/S)

PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE QUALIFIED SMD NO.

HX1750 16 bit 5.0 40 100k <1x10-5 NA NA Immune 121 PGA112 LCC100 PGA

QML Q 5962-05207

RHPPC 32 bit (603e) 3.3 80 300k <1x10-5 NA NA Immune 240 CQFP255 LGA/BGA

QML V, Q 5962-07A01

SRAM and Non-Volatile MRAM Memory Products

For high speed, low power consumption

data storage applications, including

strategic systems, Honeywell’s SOI

Static Random Access Memory (SRAM)

and Non-Volatile Magneto-Resistive RAM

(MRAM) family provides reliable solutions.

Our SRAM product family is fabricated

with our patented SOI CMOS technology

and is available in sizes ranging from

256k bits to 16 Mbits in a monolithic

chip, with 64 Mbits and greater in

MultiChip Modules (MCM).

Notes: R= READ, W=WRITE

Processors

4 5

Page 6: A comprehensive range of radiation hardened integrated ... · A comprehensive range of radiation hardened integrated circuit solutions ... Honeywell – Your Total Integrated Circuit

SERializer/DESerializer(SerDes) ProductsHoneywell’s SerDes offers the space

community the highest performing

communication link that meets the rad

hard needs of both commercial and

military systems for 1Gb/s to 10Gb/s serial

communications. Offering a significantly

lower power and pin counts than parallel

interfaces, our SerDes products convert

high-speed serial data to lower-speed

parallel clock and data, reducing the number

of signals on the IOs with an increased

data rate. It meets the increasing demands

for high speed (1Gb/s to 3.18 Gb/s), more

reliable data communications in space.).

Bus InterfacePART NUMBER CONFIGURATION VOLTAGE (V) DATA RATE (MHZ) TOTAL DOSE (RAD[SI]) SINGLE-EVENT UPSET

(UPSETS/BIT-DAY)PROMPT-DOSE UPSET (RAD[SI]/S) PROMPT-DOSE SURVIVABILITY

(RAD[SI]/S)LATCHUP PACKAGE QUALIFIED SMD NO.

HXBUSX18 18 or 2 x 9 3.3 100 300k NA ≥1x109 ≥1x1012 Immune 68 CQFP QML V, Q 5962-07A06

HX422D Quad Driver 3.3 20 300k NA ≥1x109 ≥1x1012 Immune 16 FP QML V, Q 5962-07A05

HX422R Quad Receiver 3.3 20 300k NA ≥1x109 ≥1x1012 Immune 16 FP QML V, Q 5962-07A04

SerDesPART NUMBER CONFIGURATION VOLTAGE (V) DATA RATE

(Gb/S)PROTOCOL TOTAL DOSE (RAD[SI]) SINGLE-EVENT UPSET

(UPSETS/ DEVICE-DAY)PROMPT-DOSE UPSET (RAD[SI]/S)

PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE QUALIFIED SMD NO.

HXSRD01Trivor

1 - 8 Lanes 1.8, 2.5 1.0 to 3.18 Ethernet Fibre Channel 10Gb/s XUAI

1M <1x10-12 ≥1x1010 ≥1x1012 Immune 468 LGA QML V, Q 5962-10208

HXSRD02Slider

1 - 4 Lanes 1.8, 2.5 1.0 to >3.125 Serial Rapid IO 1M <1x10-12 <1x10-10 ≥1x10-12 Immune 468 LGA QML V, Q Not yet available

LVDSPART NUMBER CONFIGURATION VOLTAGE (V) DATA RATE (MHZ) TOTAL DOSE (RAD[SI]) SINGLE-EVENT UPSET

(UPSETS/BIT-DAY)PROMPT-DOSE UPSET (RAD[SI]/S) PROMPT-DOSE SURVIVABILITY

(RAD[SI]/S)LATCHUP PACKAGE QUALIFIED SMD NO.

HXLVDSD Quad Driver 3.3 100 300k NA ≥1x109 ≥1x1012 Immune 16 FP QML V, Q 5962-07A02

HXLVDSR Quad Receiver 3.3 100 300k NA ≥1x109 ≥1x1012 Immune 16 FP QML V, Q 5962-07A03

Data Communications

6 7

Page 7: A comprehensive range of radiation hardened integrated ... · A comprehensive range of radiation hardened integrated circuit solutions ... Honeywell – Your Total Integrated Circuit

SERializer/DESerializer(SerDes) ProductsHoneywell’s SerDes offers the space

community the highest performing

communication link that meets the rad

hard needs of both commercial and

military systems for 1Gb/s to 10Gb/s serial

communications. Offering a significantly

lower power and pin counts than parallel

interfaces, our SerDes products convert

high-speed serial data to lower-speed

parallel clock and data, reducing the number

of signals on the IOs with an increased

data rate. It meets the increasing demands

for high speed (1Gb/s to 3.18 Gb/s), more

reliable data communications in space.).

Bus InterfacePART NUMBER CONFIGURATION VOLTAGE (V) DATA RATE (MHZ) TOTAL DOSE (RAD[SI]) SINGLE-EVENT UPSET

(UPSETS/BIT-DAY)PROMPT-DOSE UPSET (RAD[SI]/S) PROMPT-DOSE SURVIVABILITY

(RAD[SI]/S)LATCHUP PACKAGE QUALIFIED SMD NO.

HXBUSX18 18 or 2 x 9 3.3 100 300k NA ≥1x109 ≥1x1012 Immune 68 CQFP QML V, Q 5962-07A06

HX422D Quad Driver 3.3 20 300k NA ≥1x109 ≥1x1012 Immune 16 FP QML V, Q 5962-07A05

HX422R Quad Receiver 3.3 20 300k NA ≥1x109 ≥1x1012 Immune 16 FP QML V, Q 5962-07A04

SerDesPART NUMBER CONFIGURATION VOLTAGE (V) DATA RATE

(Gb/S)PROTOCOL TOTAL DOSE (RAD[SI]) SINGLE-EVENT UPSET

(UPSETS/ DEVICE-DAY)PROMPT-DOSE UPSET (RAD[SI]/S)

PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE QUALIFIED SMD NO.

HXSRD01Trivor

1 - 8 Lanes 1.8, 2.5 1.0 to 3.18 Ethernet Fibre Channel 10Gb/s XUAI

1M <1x10-12 ≥1x1010 ≥1x1012 Immune 468 LGA QML V, Q 5962-10208

HXSRD02Slider

1 - 4 Lanes 1.8, 2.5 1.0 to >3.125 Serial Rapid IO 1M <1x10-12 <1x10-10 ≥1x10-12 Immune 468 LGA QML V, Q Not yet available

LVDSPART NUMBER CONFIGURATION VOLTAGE (V) DATA RATE (MHZ) TOTAL DOSE (RAD[SI]) SINGLE-EVENT UPSET

(UPSETS/BIT-DAY)PROMPT-DOSE UPSET (RAD[SI]/S) PROMPT-DOSE SURVIVABILITY

(RAD[SI]/S)LATCHUP PACKAGE QUALIFIED SMD NO.

HXLVDSD Quad Driver 3.3 100 300k NA ≥1x109 ≥1x1012 Immune 16 FP QML V, Q 5962-07A02

HXLVDSR Quad Receiver 3.3 100 300k NA ≥1x109 ≥1x1012 Immune 16 FP QML V, Q 5962-07A03

Data Communications

6 7

Page 8: A comprehensive range of radiation hardened integrated ... · A comprehensive range of radiation hardened integrated circuit solutions ... Honeywell – Your Total Integrated Circuit

Mixed Signal Products

SOI CMOS provides the benefits of low

leakage, low parasitic capacitance and

high isolation to mixed signal products.

Precision design and a low noise process

delivers high performance and radiation

hardness. Honeywell mixed signal products

support a wide range of applications

including data conversion from analog

to digital and the inverse, digital to analog.

Mixed SignalCONVERTER PART NUMBER RESOLUTION (BITS) VOLTAGE (V) SAMPLE RATE (MS/S) TYPICAL INL (LSB) TYPICAL DNL (LSB) TOTAL DOSE

(RAD[SI])SINGLE-EVENT UPSET (UPSETS/BIT-DAY)

PROMPT-DOSE UPSET (RAD[SI]/S)

PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE

A/D HMXADC9225 12 bit 5.0 20 +/- 1.2 +/- 0.4 500k <1x10-10 ≥1x1012 ≥1x1012 Immune 28 FP

A/D HMXADC9246 14 bit 1.8, 3.3 125 +/- 1.2 +/- 0.4 1M <1x10-10 ≥1x1012 ≥1x1012 Immune 48 CQFP

D/A HMXDAC01 12 bit 5 3 +/-3 +/-1 300k ≥1x1010 ≥1x1012 Immune 28 CQFP

AnalogANALOG PART NUMBER VOLTAGE (V) ON RESISTANCE (OHMS) PROP. DELAY

(NS)TOTAL DOSE (RAD[SI]) PROMPT-DOSE UPSET

(RAD[SI]/S)PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE

Comparator HMXCMP01 5 <125 300k ≥1x1010 ≥1x1012 Immune 8 CQFP

Analog MUX HMXMUX01 5 60 300k ≥1x1010 ≥1x1012 Immune 16 FP

Digital ASIC and Mixed SignalPART NUMBER CONFIGURATION VOLTAGE (V) MAX GATE

COUNTKEY COMPONENTS TOTAL DOSE

(RAD[SI])SINGLE-EVENT UPSET (UPSETS/BIT-DAY)

PROMPT-DOSE UPSET (RAD[SI]/S)

PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE QUALIFIED

HX2000 Digital 5 270k Embed SRAM ECL I/O 1M <1x10-12 ≥1x1010 ≥1x1012 Immune FP, CQFP, LGA QML V, Q+

HX3000 Digital 3.3, 2.5 1.2M Embed SRAM, PLL, LVDS I/O 1M <1x10-12 ≥1x1010 ≥1x1012 Immune FP, CQFP, LGA QML V, Q+

HX5000 Digital 1.8, 2.5, 3.3 15M Embed SRAM, SERDES, PLL 1M <1x10-12 ≥1x1010 ≥1x1012 Immune FP, CQFP, LGA, Flip Chip QML V, Q+

HX5SA Structured Array 1.8, 2.5, 3.3 4.5M Usable 2.1Mb Memory 1M <1x10-12 <1x10-10 ≥1x1012 Immune 783 LGA, 512 IO QML V, Q

HMX2000 Mixed Signal 5 Resistors, Caps, DMOS 1M <1x10-12 ≥1x1010 ≥1x1012 Immune FP, CQFP, LGA

HMX3000 Mixed Signal 3.3, 2.5 Resistors, Caps, DMOS 1M <1x10-12 ≥1x1010 ≥1x1012 Immune FP, CQFP, LGA

HMX5000 Mixed Signal 1.8, 2.5, 3.3 Resistors, MIM caps, PLL

Digital ASIC and Mixed Signal Products

Honeywell’s Application Specific

Integrated Circuit (ASIC) product family,

fabricated with our patented SOI CMOS

technology, provides efficient data

processing power in space satellites,

avionics and military applications. Our

ASIC product family, available in mixed

signal or digital, offers high speed, low

power, and radiation hardness for

reliability. There is a wide range of analog,

RF and data conversion cells including

Analog-to-Digital converters, SerDes

(Serializer/Deserializer) and Phase Lock

Loop (PLLs) to support mixed signal.

8 9

Page 9: A comprehensive range of radiation hardened integrated ... · A comprehensive range of radiation hardened integrated circuit solutions ... Honeywell – Your Total Integrated Circuit

Mixed Signal Products

SOI CMOS provides the benefits of low

leakage, low parasitic capacitance and

high isolation to mixed signal products.

Precision design and a low noise process

delivers high performance and radiation

hardness. Honeywell mixed signal products

support a wide range of applications

including data conversion from analog

to digital and the inverse, digital to analog.

Mixed SignalCONVERTER PART NUMBER RESOLUTION (BITS) VOLTAGE (V) SAMPLE RATE (MS/S) TYPICAL INL (LSB) TYPICAL DNL (LSB) TOTAL DOSE

(RAD[SI])SINGLE-EVENT UPSET (UPSETS/BIT-DAY)

PROMPT-DOSE UPSET (RAD[SI]/S)

PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE

A/D HMXADC9225 12 bit 5.0 20 +/- 1.2 +/- 0.4 500k <1x10-10 ≥1x1012 ≥1x1012 Immune 28 FP

A/D HMXADC9246 14 bit 1.8, 3.3 125 +/- 1.2 +/- 0.4 1M <1x10-10 ≥1x1012 ≥1x1012 Immune 48 CQFP

D/A HMXDAC01 12 bit 5 3 +/-3 +/-1 300k ≥1x1010 ≥1x1012 Immune 28 CQFP

AnalogANALOG PART NUMBER VOLTAGE (V) ON RESISTANCE (OHMS) PROP. DELAY

(NS)TOTAL DOSE (RAD[SI]) PROMPT-DOSE UPSET

(RAD[SI]/S)PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE

Comparator HMXCMP01 5 <125 300k ≥1x1010 ≥1x1012 Immune 8 CQFP

Analog MUX HMXMUX01 5 60 300k ≥1x1010 ≥1x1012 Immune 16 FP

Digital ASIC and Mixed SignalPART NUMBER CONFIGURATION VOLTAGE (V) MAX GATE

COUNTKEY COMPONENTS TOTAL DOSE

(RAD[SI])SINGLE-EVENT UPSET (UPSETS/BIT-DAY)

PROMPT-DOSE UPSET (RAD[SI]/S)

PROMPT-DOSE SURVIVABILITY(RAD[SI]/S)

LATCHUP PACKAGE QUALIFIED

HX2000 Digital 5 270k Embed SRAM ECL I/O 1M <1x10-12 ≥1x1010 ≥1x1012 Immune FP, CQFP, LGA QML V, Q+

HX3000 Digital 3.3, 2.5 1.2M Embed SRAM, PLL, LVDS I/O 1M <1x10-12 ≥1x1010 ≥1x1012 Immune FP, CQFP, LGA QML V, Q+

HX5000 Digital 1.8, 2.5, 3.3 15M Embed SRAM, SERDES, PLL 1M <1x10-12 ≥1x1010 ≥1x1012 Immune FP, CQFP, LGA, Flip Chip QML V, Q+

HX5SA Structured Array 1.8, 2.5, 3.3 4.5M Usable 2.1Mb Memory 1M <1x10-12 <1x10-10 ≥1x1012 Immune 783 LGA, 512 IO QML V, Q

HMX2000 Mixed Signal 5 Resistors, Caps, DMOS 1M <1x10-12 ≥1x1010 ≥1x1012 Immune FP, CQFP, LGA

HMX3000 Mixed Signal 3.3, 2.5 Resistors, Caps, DMOS 1M <1x10-12 ≥1x1010 ≥1x1012 Immune FP, CQFP, LGA

HMX5000 Mixed Signal 1.8, 2.5, 3.3 Resistors, MIM caps, PLL

Digital ASIC and Mixed Signal Products

Honeywell’s Application Specific

Integrated Circuit (ASIC) product family,

fabricated with our patented SOI CMOS

technology, provides efficient data

processing power in space satellites,

avionics and military applications. Our

ASIC product family, available in mixed

signal or digital, offers high speed, low

power, and radiation hardness for

reliability. There is a wide range of analog,

RF and data conversion cells including

Analog-to-Digital converters, SerDes

(Serializer/Deserializer) and Phase Lock

Loop (PLLs) to support mixed signal.

8 9

Page 10: A comprehensive range of radiation hardened integrated ... · A comprehensive range of radiation hardened integrated circuit solutions ... Honeywell – Your Total Integrated Circuit

Conversion Services

Complex designs now developed on Field Programmable Gate Arrays (FPGAs) do not always

have a clear path to flight hardware. The designs may be too large for flight qualified FPGAs.

This may lead to using multiple FPGAs which increases power consumption and area. Honeywell

can optimize your design by converting the single or multiple designs into a single ASIC saving

cost, power and area.

• FPGA to ASIC Conversion –

This conversion process delivers a form, fit, and function replacement of FPGAs

using space-qualified rad hard ASIC technology. Honeywell has established a “FPGA

conversion process” with scalable resources to meet the demands of the space industry.

This “process” enables a seamless path to convert FPGAs to Honeywell’s SOI-based

ASICs. Several FPGAs can be converted to a single ASIC to significantly reduce cost

and improve performance.

To address obsolete parts issues or reduce the cost of a design implemented in a FPGA,

Honeywell can convert your design into a new IC.

• Obsolete Parts Replacement –

Extend the life of your products by resolving your obsolete parts problems. Honeywell

can create a new form, fit, function replacement IC that delivers the longevity your products

require including commitment to support 5V technologies. We have experience translating

designs including memories, microprocessors, mixed-signal semiconductors and custom

integrated circuits. The conversion process starts with a flexible entry point which may

be a netlist, VHDL/Verilog, mask translation, GDSII, schematic, or spec/data sheet.

Foundry Services

For near-term production needs, take advantage of the high integration 0.15µm, 0.35µm

and 0.8µm rad-hard SOI fabrication process using Honeywell’s wafer foundry. Our

fabrication process yields high performance devices with up to 15 million gates at

significantly higher speeds than standard processes. We offer cost-effective, multichip,

wafer run programs to help reduce the cost and time in development.

Multichip Modules

To reduce size and power in space

applications, Honeywell can combine a

large number of complex circuits into one

comprehensive multichip package using

our MultiChip Module (MCM) technology.

Our MCMs allow the user to integrate

ASICs, memory and other solid state or

passive devices into a single or double

sided MCM. A QML die stacking process

is the most efficient method. Quality and

reliability of components in this space

saving package is not compromised.

In fact, the level of integration increases

electrical performance with our MCM

device. The package can be electrically

and environmentally screened and

qualified to military specifications.

TECHNOLOGIES

Attributes SOI IV SOI V S150

Feature Size (µm) 0.8/0.7 0.35 0.15

Voltage (V) 5/3.3/2.5 3.3/2.5 1.8/1.5

Metal Layers (#) 3 or 4 4 6 or 8

Page 11: A comprehensive range of radiation hardened integrated ... · A comprehensive range of radiation hardened integrated circuit solutions ... Honeywell – Your Total Integrated Circuit

Conversion Services

Complex designs now developed on Field Programmable Gate Arrays (FPGAs) do not always

have a clear path to flight hardware. The designs may be too large for flight qualified FPGAs.

This may lead to using multiple FPGAs which increases power consumption and area. Honeywell

can optimize your design by converting the single or multiple designs into a single ASIC saving

cost, power and area.

• FPGA to ASIC Conversion –

This conversion process delivers a form, fit, and function replacement of FPGAs

using space-qualified rad hard ASIC technology. Honeywell has established a “FPGA

conversion process” with scalable resources to meet the demands of the space industry.

This “process” enables a seamless path to convert FPGAs to Honeywell’s SOI-based

ASICs. Several FPGAs can be converted to a single ASIC to significantly reduce cost

and improve performance.

To address obsolete parts issues or reduce the cost of a design implemented in a FPGA,

Honeywell can convert your design into a new IC.

• Obsolete Parts Replacement –

Extend the life of your products by resolving your obsolete parts problems. Honeywell

can create a new form, fit, function replacement IC that delivers the longevity your products

require including commitment to support 5V technologies. We have experience translating

designs including memories, microprocessors, mixed-signal semiconductors and custom

integrated circuits. The conversion process starts with a flexible entry point which may

be a netlist, VHDL/Verilog, mask translation, GDSII, schematic, or spec/data sheet.

Foundry Services

For near-term production needs, take advantage of the high integration 0.15µm, 0.35µm

and 0.8µm rad-hard SOI fabrication process using Honeywell’s wafer foundry. Our

fabrication process yields high performance devices with up to 15 million gates at

significantly higher speeds than standard processes. We offer cost-effective, multichip,

wafer run programs to help reduce the cost and time in development.

Multichip Modules

To reduce size and power in space

applications, Honeywell can combine a

large number of complex circuits into one

comprehensive multichip package using

our MultiChip Module (MCM) technology.

Our MCMs allow the user to integrate

ASICs, memory and other solid state or

passive devices into a single or double

sided MCM. A QML die stacking process

is the most efficient method. Quality and

reliability of components in this space

saving package is not compromised.

In fact, the level of integration increases

electrical performance with our MCM

device. The package can be electrically

and environmentally screened and

qualified to military specifications.

TECHNOLOGIES

Attributes SOI IV SOI V S150

Feature Size (µm) 0.8/0.7 0.35 0.15

Voltage (V) 5/3.3/2.5 3.3/2.5 1.8/1.5

Metal Layers (#) 3 or 4 4 6 or 8

Page 12: A comprehensive range of radiation hardened integrated ... · A comprehensive range of radiation hardened integrated circuit solutions ... Honeywell – Your Total Integrated Circuit

Honeywell Aerospace

Honeywell

12001 Highway 55

Plymouth, MN 55441

Tel: 800-323-8295

www.honeywell.com

Find out moreLearn more about Honeywell

Rad Hard Microelectronics solutions

at www.honeywell.com/radhard

N61-1040-000-001February 2012© 2012 Honeywell International Inc.

Honeywell reserves the right to make changesto improve reliability, function or design. Honeywelldoes not assume any liability arising out of theapplication or use of any product or circuit describedherein; neither does it convey any license under itspatent rights nor the rights of others.

Honeywell Microelectronics

Honeywell is recognized as an industry leader in reliable,

radiation-hardened electronics due to our unwavering

commitment to meet customer requests and superior

technology. Honeywell provides the best combination

of cost and schedule while reducing program risk.