asic computer-aided design flow - auburn universitynelson/courses/elec5250_6250/slides/lecture...

14
ELEC 5250/6250 ASIC Computer-Aided Design Flow

Upload: vuhuong

Post on 12-Feb-2018

251 views

Category:

Documents


6 download

TRANSCRIPT

Page 1: ASIC Computer-Aided Design Flow - Auburn Universitynelson/courses/elec5250_6250/slides/Lecture 3... · ASIC Computer-Aided Design Flow. ASIC Design Flow. ... utils/ Kit Utility Programs

ELEC 5250/6250

ASIC Computer-Aided Design Flow

Page 2: ASIC Computer-Aided Design Flow - Auburn Universitynelson/courses/elec5250_6250/slides/Lecture 3... · ASIC Computer-Aided Design Flow. ASIC Design Flow. ... utils/ Kit Utility Programs

ASIC Design Flow

Page 3: ASIC Computer-Aided Design Flow - Auburn Universitynelson/courses/elec5250_6250/slides/Lecture 3... · ASIC Computer-Aided Design Flow. ASIC Design Flow. ... utils/ Kit Utility Programs

ASIC Design FlowBehavioral

ModelVHDL/Verilog

Gate-LevelNetlist

Transistor-LevelNetlist

PhysicalLayout

Map/Place/Route

DFT/BIST& ATPG

VerifyFunction

VerifyFunction

Verify Function& Timing

Verify Timing

DRC & LVSVerification

IC Mask Data/FPGA Configuration File

Standard Cell IC & FPGA/CPLD

Synthesis

Test vectors Full-custom IC

Front-EndDesign

Back-EndDesign

Page 4: ASIC Computer-Aided Design Flow - Auburn Universitynelson/courses/elec5250_6250/slides/Lecture 3... · ASIC Computer-Aided Design Flow. ASIC Design Flow. ... utils/ Kit Utility Programs

“Front-End” Design & VerificationCreate Behavioral/RTL

HDL Model(s)

Simulate to VerifyFunctionality

SynthesizeCircuit

Leonardo Spectrum,Synopsys -Design Compiler,Xilinx ISE (digital)

QuestaSim(digital)

VHDL-AMSVerilog-AMS

Questa ADMS(analog/mixed signal)

VHDLVerilog

SystemC

Technology Libraries

Technology-specific netlist to back-end tools

Simulate to VerifyFunction/Timing VITAL

Library

Design Constraints

Design for testabilityATPG

Simulate to VerifyFunction/Timing

ATPGLibrary

VITALLibrary

TessentDFTAdvisor, Fastscan

QuestaSim(digital)

Page 5: ASIC Computer-Aided Design Flow - Auburn Universitynelson/courses/elec5250_6250/slides/Lecture 3... · ASIC Computer-Aided Design Flow. ASIC Design Flow. ... utils/ Kit Utility Programs

ASIC “back end” (physical) designAssume digital blocks/standard cells (can also do full custom layout, IP blocks, mixed-signal blocks, etc.)

FloorplanChip/Blocks

Plan Rows,Place & Route

Std. Cells

ASIC Hierarchical Netlist

IC Mask Data

Design RuleCheck (DRC)

Std. CellLayouts Cadence

“SOC Encounter”“Virtuoso”

ADiT Simulation Model

Extract Parasitics,Backannotate

SchematicGenerateMask Data

Layout vs.Schematic

(LVS) Check

Libraries

Calibre Calibre Calibre

Process data,Design rules

Page 6: ASIC Computer-Aided Design Flow - Auburn Universitynelson/courses/elec5250_6250/slides/Lecture 3... · ASIC Computer-Aided Design Flow. ASIC Design Flow. ... utils/ Kit Utility Programs

Cadence SOC Encounter – Mod7 Counter Layout

Page 7: ASIC Computer-Aided Design Flow - Auburn Universitynelson/courses/elec5250_6250/slides/Lecture 3... · ASIC Computer-Aided Design Flow. ASIC Design Flow. ... utils/ Kit Utility Programs

SoC Design Flow (Using IP cores)

Hardware IP cores

SoC Design specifics

HW/SW partitioning

Purchase HW cores

Purchase SW drivers

IntegratedHardware

IntegratedSoftware

Prototype on platformse.g. FPGA

FunctionalSimulation

SoftwareSimulation

Physical optimizationand fabrication

Application developmentand test

HW/ SWco-verification

Volume manufacture and ship

Software drivers

IP Vendors:core design

Fabless Vendors: SoC design

Foundries: Chip fabrication

PCB manufactureand device assembly

Device vendors: Final products

Page 8: ASIC Computer-Aided Design Flow - Auburn Universitynelson/courses/elec5250_6250/slides/Lecture 3... · ASIC Computer-Aided Design Flow. ASIC Design Flow. ... utils/ Kit Utility Programs

ASIC CAD tools available in ECE Modeling and Simulation

Modelsim, Questa-ADMS, Eldo, ADiT (Mentor Graphics) Verilog-XL, NC_Verilog, Spectre (Cadence) Active-HDL (Aldec)

Design Synthesis (digital) Leonardo Spectrum (Mentor Graphics) Design Compiler (Synopsys), RTL Compiler (Cadence)

Design for Test and Automatic Test Pattern Generation Tessent DFT Advisor, Fastscan, SoCScan (Mentor Graphics)

Schematic Capture & Design Integration Pyxis Design Architect-IC (Mentor Graphics) Design Framework II (DFII) - Composer (Cadence)

Physical Layout Pyxis IC Station (Mentor Graphics) SOC Encounter, Virtuoso (Cadence)

Design Verification Calibre DRC, LVS, PEX (Mentor Graphics) Diva, Assura (Cadence)

Page 9: ASIC Computer-Aided Design Flow - Auburn Universitynelson/courses/elec5250_6250/slides/Lecture 3... · ASIC Computer-Aided Design Flow. ASIC Design Flow. ... utils/ Kit Utility Programs

IC Process Design Kits (PDKs) Foundry-specific data and models for a

specific IC technology Used by the design tools

Design components for both front-end & back-end design Design entry/modeling Technology/process data Layer definitions/parameters (Trans, R,C,…) Design rules

Standard Cell Library Synthesis library Simulation models (Verilog, transistor) Physical designs (LEF models) Timing models (fast, typical, slow)

Verification (DRC,LVS,PEX) DFT/test generation IP and device generators (RAM, etc.)

Page 10: ASIC Computer-Aided Design Flow - Auburn Universitynelson/courses/elec5250_6250/slides/Lecture 3... · ASIC Computer-Aided Design Flow. ASIC Design Flow. ... utils/ Kit Utility Programs

Global Foundries BiCMOS8HP 130nm PDK

Page 11: ASIC Computer-Aided Design Flow - Auburn Universitynelson/courses/elec5250_6250/slides/Lecture 3... · ASIC Computer-Aided Design Flow. ASIC Design Flow. ... utils/ Kit Utility Programs

• Physical Design Cells- FILLx (row fill cells, x=1,2,4,8,…,128)- FGTIE (floating-gate tie-down)- NWSX (substrate and n-well taps)

Global Foundries BiCMOS8HP 130nm PDK

I/O

Page 12: ASIC Computer-Aided Design Flow - Auburn Universitynelson/courses/elec5250_6250/slides/Lecture 3... · ASIC Computer-Aided Design Flow. ASIC Design Flow. ... utils/ Kit Utility Programs

Global Foundries PDK Directory StructureIBM_PDK/bicmos8hp/<version>/Subdirectory Contentsdoc/ Technology Design Manual

Model Reference GuideLayer Mapping File

cdslib/bicmos8hp Cadence BiCMOS8HP Device Library (IC61)/esd8hp Cadence BiCMOS8HP ESD Library/Skill Context Files (Skill Utilities)/examples Example Setup Files/doc Cadence Library Documentation

Assura/DRC DRC Files/LVS LVS Files/doc Assura Release Notes

EM/ Electromagnetic Enablement/doc E-M File Release Notes and Guide/EMX EMX Proc Files/Momentum Momentum Layer and Substrate Files

HSPICE/models HSPICE Device Model Files/doc HSPICE Release Notes

Spectre/models Spectre (Direct) Device Model Files/doc Spectre Release Notes

utils/ Kit Utility Programs

Page 13: ASIC Computer-Aided Design Flow - Auburn Universitynelson/courses/elec5250_6250/slides/Lecture 3... · ASIC Computer-Aided Design Flow. ASIC Design Flow. ... utils/ Kit Utility Programs

FPGA Design FlowBehavioral

Design

Gate-LevelSchematic

Map, Place & Route

VerifyFunction

VerifyFunction

Verify Timing

FPGA Configuration File

Mentor GraphicsFront-End Tools

(Technology-Independent)

Xilinx/Altera/OtherBack-End Tools

(Technology-Specific)

Synthesis

EDIF Netlist

Page 14: ASIC Computer-Aided Design Flow - Auburn Universitynelson/courses/elec5250_6250/slides/Lecture 3... · ASIC Computer-Aided Design Flow. ASIC Design Flow. ... utils/ Kit Utility Programs

Xilinx/Altera FPGA/CPLD Design Tools Simulate designs in Modelsim (or other simulation tools)

Behavioral/RTL models (VHDL,Verilog) Synthesized netlists (VHDL, Verilog)

Requires “primitives” library for the target technology

Synthesize netlist from behavioral/RTL model Vendor-provided: Xilinx Vivado (previously ISE), Altera Quartus II Leonardo (Levels 1,2,3) has FPGA & ASIC libraries (ASIC-only version installed at AU)

Vendor tools for back-end design Map, place, route, configure device, timing analysis, generate timing models Xilinx Vivado (previously ISE - Integrated Software Environment) Altera Quartus II

Higher level tools for system design & management Xilinx Platform Studio : SoC design, IP management, HW/SW codesign Mentor Graphics FPGA Advantage