handbook of physical vapor deposition (pvd)...

771
Handbook of Physical Vapor Deposition (PVD) Processing Second edition

Upload: others

Post on 04-Apr-2020

41 views

Category:

Documents


12 download

TRANSCRIPT

  • Handbook of Physical Vapor Deposition (PVD) Processing

    Second edition

  • Dedication

    To my wife Vivienne Without Vivienne’s constant support, encouragement, and editorial assistance, this edition would not exist.

  • Handbook of Physical Vapor Deposition (PVD) Processing

    Donald M. Mattox

    AMSTERDAM • BOSTON • HEIDELBERG • LONDON NEW YORK • OXFORD • PARIS • SAN DIEGO

    SAN FRANCISCO • SINGAPORE • SYDNEY • TOKYO

    William Andrew is an imprint of Elsevier

  • Dedication

    To my wife Vivienne Without Vivienne’s constant support, encouragement, and editorial assistance, this edition would not exist.

  • William Andrew is an imprint of ElsevierThe Boulevard, Langford Lane, Kidlington, Oxford OX5 1GB, UK30 Corporate Drive, Suite 400, Burlington, MA 01803, USA

    First edition 1998Second edition 2010

    Copyright © 2010, Donald M. Mattox. Published by Elsevier Inc. All rights reserved

    The right of Donald M. Mattox to be identified as the author of this work has been asserted in accordance with the Copyright, Designs and Patents Act 1988

    No part of this publication may be reproduced, stored in a retrieval system or transmitted in any form or by any means electronic, mechanical, photocopying recording or otherwise without the prior written permission of the publisher

    Permissions may be sought directly from Elsevier’s Science & Technology Rights Department in Oxford, UK: phone (44) (0) 1865 843830; fax (44) (0) 1865 853333; email: [email protected] Alternatively you can submit your request online by visiting the Elsevier web site at http://elsevier.com/ locate/permissions, and selecting Obtaining permission to use Elsevier material

    NoticeNo responsibility is assumed by the publisher for any injury and/or damage to persons or property as a matter of products liability, negligence or otherwise, or from any use or operation of any methods, products, instructions or ideas contained in the material herein. Because of rapid advances in the medical sciences, in particular, independent verification of diagnoses and drug dosages should be made

    British Library Cataloguing-in-Publication DataA catalogue record for this book is available from the British Library

    Library of Congress Cataloging-in-Publication DataA catalog record for this book is available from the Library of Congress

    ISBN: 978-0-81-552037-5

    For information on all Elsevier publications visit our web site at books.elsevier.com

    Typeset by MPS Limited, a Macmillan Company, Chennai, India www.macmillansolutions.com

    Printed and bound in The United States of America

    10 11 12 13 14 15 10 9 8 7 6 5 4 3 2

    mailto:[email protected]

  • xix

    Preface to First Edition

    The motivation for writing this book is that there is no single source of information which covers all aspects of Physical Vapor Deposition (PVD) processing in a comprehensive manner. The properties of thin films deposited by PVD processes depend on a number of factors, and each must be considered when developing a reproducible process and obtaining a high product throughput and yield from the production line.

    This book covers all aspects of Physical Vapor Deposition (PVD) process technology from the characterizing and preparing the substrate material, through deposition processing and film characterization, to post deposition processing. The emphasis of the book is on the aspects of the process flow that are critical to reproducible deposition of films that have the desired properties.

    The book covers both neglected subjects such as film adhesion, substrate surface characterization, and the eternal processing environment, as well as widely discussed subjects such as vacuum technology, film properties, and the fundamentals of individual deposition processes. In this book the author relates these subjects to the practical issues that arise in PVD processing, such as contamination control and substrate property effects on film growth, which are often not discussed or even mentioned in the literature. By bringing these subjects together in one book, the author has made it possible for the reader to better understand the interrelationship between various aspects of the film deposition processing and the resulting film properties. The author draws upon his long experience with developing PVD processes, troubleshooting the processes in the manufacturing environment, and teaching short courses on PVD processing, to not only present the basics but to provide useful hints for avoiding problems, and solving problems when they arise. Some examples of actual problems and solutions (“war stories”) are provided as footnotes throughout the text. The organization of the text allows a reader who is already knowledgeable in the subject to scan through a section and find discussions that are of particular interest. The author has tried to make the subject index as useful as possible so that the reader can rapidly go to sections of particular interest. Extensive references allow the reader to pursue subjects in greater detail if desired.

    An important aspect of the book is the useful reference material presented in the Appendices. A glossary of over 2500 terms and acronyms will be especially useful to those individuals that

  • xx Preface to First Edition

    are just entering the field and those who are not fully conversant with the English language. Many of the terms are colloquialisms that are used in the field of Surface Engineering.

    The author realizes that covering this subject is a formidable task, particularly for one person, and that this effort is incomplete at best. He would like to elicit comments, corrections, and additions, which may be incorporated in a later edition of the book. In particular, he would like to elicit “war stories” of actual problems and solutions. Credit will be given for those that are used.

    Donald M. MattoxAlbuquerque, NM

  • xxi

    Preface to Second Edition

    The motivation and premise of the first edition is still applicable, but times have changed! Given a term (or an author) and any of the search engines, a vast amount of information is available to the reader on the Internet. In the second edition, I have taken particular care to have terms, synonyms, acronyms, antonyms, and related terms that can be searched for on the internet for more information. There have been some major changes in processing techniques in the last ten years, namely the introduction of HIPIMS and HIPIMS, the increased use of chemical vapor precursors in reactive PVD processing, and the increased development of thick and nanolayered PVD coatings, particularly for tribological applications. The importance of gas/vapor flow and control in reactive PVD and PECVD processes has led to the addition of Chapter 4 on “The Sub-Atmospheric Processing Environment” and the change of the title of Chapter 3 from “The Low-Pressure Gas and Vacuum Processing Environment” to “The “Good” Vacuum (Low Pressure) Processing Environment.”

    Donald M. MattoxManagement Plus, Inc.

    Albuquerque, NMMarch 2010

  • xxiii

    Acknowledgements

    The author would like to thank Sandia National Laboratories for supporting his work in PVD processing for many years. He would also like to thank the Society of Vacuum Coaters for permission to use many of the figures that were developed for the Education Guides to Vacuum Coating Processing (SVC Publications – 2009). These guides are one-page descriptions of various aspects of PVD processing written in order to “chunk” the information for easy learning.

    Most of all, the author would like to thank his wife, Vivienne, for the encouragement and vast amount of help that have made this second edition possible.

  • xxv

    Acronyms Used in Vacuum Coating and Surface Engineering

    A

    a () Amorphous (Example: a-Si), Optical adsorption coefficient (cm1)A AmpereÅ ÅngstromAAS Atomic absorption spectroscopyABS Acrylonitrile butadiene styrene; Alky-benzene-sulfonate detergentABS™ Arc-bonded sputteringACGIH American Conference of Governmental Industrial Hygienistsa-C Amorphous carbona-C:H Amorphous hydrogen-containing carbon (one form of diamond-like carbon)AC Alternating currentACS American Chemical SocietyAEM Analytical electron microscopyAES Auger electron spectroscopyAESF American Electroplaters and Surface FinishersAF Audio frequencyAFM Atomic force microscope; Atomic force microscopy; Abrasive flow

    machiningAIMCAL Association of Industrial Metallizers, Coaters and Laminators, Inc.AIP American Institute of PhysicsALD Atomic layer depositionAMLCD Active-matrix liquid crystal displayAMR Anisotropic magnetoresistiveamu Atomic mass unitANSI American National Standards InstituteAO Atomic oxygenAPC Adaptive process controlAPCVD Atmospheric pressure chemical vapor deposition

  • xxvi Acronyms Used in Vacuum Coating and Surface Engineering

    APGD Atmospheric pressure glow dischargeAPIMS Atmospheric pressure ionization mass spectrometryAPP Atmospheric pressure plasmaAPS American Physical SocietyAR AntireflectiveARAS Antireflective/antistaticARC Antireflective coatingARE Activated reactive evaporationARF Argon fluorideARIP Activated reactive ion platingARO After receipt of orderASHRAE American Society of Heating, Refrigerating, and Air-Conditioning

    EngineersASIC Application-specific integrated circuitASM ASM International (previously American Society for Metals; now ASM

    International)ASME American Society of Mechanical EngineersASNT American Society for Non-destructive TestingASQC American Society for Quality ControlASTM American Society for Testing and Materialsatm Atmosphere (usually standard atmosphere)at% Atomic per centAVEM Association of Vacuum Equipment Manufacturers (more correctly known as

    AVEM International)AVS The society that used to be known as the American Vacuum Society

    B

    B Magnetic field (vector)BAG Bayard–Alpert gaugeBARE Bias active reactive evaporationBBAR Broad band antireflectionbcc Body-centered cubic (crystallography)BOPP Biaxially oriented polypropylenebp Boiling pointBP Bandpass (filter)BPSG Borophosphosilicate glassBRDF Bidirectional reflectance distribution function (light)BSC Black sooty crap

  • Acronyms Used in Vacuum Coating and Surface Engineering xxvii

    C

    c Velocity of light in a vacuum, crystallineC Capacitance; Degrees centigrade; Coulomb; ceilingCAD Computer-aided designCAM Computer-aided manufacturingCAPVD Cathodic arc physical vapor depositionCAS Chemical abstract serviceCASING Crosslinking by activated species of inert gasCASS Copper-accelerated acetic acid salt spraycc Cubic centimeterCCAI Chemical Coaters Association InternationalCCC Chromate conversion coatingCCD Charged-coupled deviceCCW Counterclockwisecd CandelaCD Compact disc; Critical dimension; Cross directionCDG Capacitance diaphragm gaugeCDMS ChlorodimethylsilaneCD-R Compact disc-recordableCE Comformité européenneCEVC Completely enclosed vapor cleanerCF™ Conflat (vacuum flange)CFC ChlorofluorocarbonCFC-111 TrichloroethaneCFC-113 Trichlorotrifluoroethanecfm Cubic feet per minutecfs Cubic feet per secondCGA Compressed Gas Associationcgs Centimeter–gram–second system of measurementCIE Commission International de l’Eclairage (International Commission on

    Illumination)CIGS Copper–indium–gallium–diselenideCLA Center line averageCLEO Conference on Laser and Electro-Opticscm Centimetercmh Cubic meters per hourCMM Converting machinery/materialsCMOS Complementary metal oxide semiconductorCMP Chemical–mechanical polishing; Chemical–mechanical planarization

  • xxviii Acronyms Used in Vacuum Coating and Surface Engineering

    CN Coordination numberCNDP Cold neutron depth profileCOO (CoO) Cost of ownershipCNT Carbon nanotubeCPP Cast polypropyleneCPWR Coupled plasmon-waveguide resonanceCrP Chromium-rich oxide passivationCRT Cathode ray tubeCSP Concentrated solar power (solar thermal)CTE Coefficient of thermal expansionCTMS ChlorotrimethylsilaneC-V Capacitance-voltageCVD Chemical vapor depositionCW Clockwise

    D

    d Daydc Direct current (preferable to DC)D-CVD Dielectric-chemical vapor depositionDBD Dielectric barrier dischargeDCS Dichlorosilanedi- 2; TwoDI DeionizedDiff Diffusion pumpDIO Deionized and -ozonated (water)DIW Deionized waterDLC Diamond-like carbonDLF Diamond-like filmsDMS Dual magnetron sputteringDMSO Dimethyl sulfoxideDOE Department of Energy (US); Design of experimentsDOI (Doi) Digital object identifier (intellectual property)DOP Dioctyl phthalateDOT Department of TransportationDOVID Diffractive optically variable image deviceDP Diffusion pumpDRAM Dynamic random access memoryDTIC Defense Technical Information Center (US)

  • Acronyms Used in Vacuum Coating and Surface Engineering xxix

    DVD Directed vapor depositionDUV Deep ultravioletDWDM Dense wavelength division multiplexingdwt Pennyweight

    E

    E Emissivity; Electric field (vector); Elastic modulus

    e ExponentialEB (eb) Electron beame-beam Electron beamECD Electrochemical depositionECM Electrochemical machiningECR Electron cyclotron resonanceECS Electrochemical SocietyEDM Electrodischarge machiningEDX Energy-dispersive X-rayEDTA Ethylene diamine tetraacetic acidEELS Electron energy loss spectroscopyEHC Electrolytic hard chromeEIES Electron impact emission spectroscopyEL ElectroplatedELD Electroluminescent display (flat panel)EM Electromigrationemf Electromotive forceEMI Electromagnetic interferenceEN Electroless nickelEPA Environmental Protection Agencyepi EpitaxialEPMA Electron probe X-ray microanalysisERA Evaporative rate analysisERD Elastic recoil detectionES&H Environmental Safety and HealthESCA Electron spectroscopy for chemical analysisESD Electrostatic dischargeEU European UnionEUV Extreme ultravioleteV electron volt

  • xxx Acronyms Used in Vacuum Coating and Surface Engineering

    F

    F Farad; Free machining (steel)FC Fault classificationfcc Face centered cubicFD Fault detectionFDD Floppy disc driveFEC Field emission cathodeFED Field emission display; Field emission diodeFE-SEM Field emission-scanning electron microscopyFET Field effect transistorFF Fill factorFIB Focused ion beamFIFO First in first outFIM Field ion microscopyFLIR Forward-looking infrared (7.5 to 12 m)FPC Fixed process control; Flexible printed circuitsFPD Flat panel displayfpm Feet per minuteFTIR Fourier transform infraredFTO Fluorine-doped tin oxide

    G

    g Unit of gravitational acceleration; GramG Giga (suffix for 109); Unit of magnetic field strength (Gauss); Gallons; Unit

    of acceleration due to gravityGANA Glass Association of North AmericaGDMS Glow discharge mass spectrometryGDOES Glow discharge optical emission spectroscopyGFCI Ground fault circuit interrupterGLAD Glancing angle depositionGPM Gallons per minutegr GrainGWP Global warming potential

    H

    h Planck’s constant; Hour; Hecto (102)H Henry (unit of inductance); Hardness

  • Acronyms Used in Vacuum Coating and Surface Engineering xxxi

    HAD Hollow cathode-assisted depositionHAP Hazardous air pollutantsHAZ Heat-affected zone; Hazardous (material)HCD Hollow cathode dischargeHCFC HydrochlorofluorocarbonHCL Hollow cathode lamp; Hydrochloric acidhcp Hexagonal close-packedHDD Hard disk driveHDP-CVD High density plasma chemical vapor depositionHEED High energy electron diffractionHEPA High efficiency particle air (see also ULPA)HF Hydrofluoric acidHFCVD Hot filament chemical vapor depositionHFE HydrofluoroetherHIP Hot isostatic processingHIPIMS High power impulse magnetron sputteringHIPIMS Modulated pulse power (MPP) HIPIMSHK Knoop hardnessHLB Hydrophilic–lipophilic balanceHMC Hybrid micro circuitHMCTSO HexamethylcyclotrisiloxaneHMDSO HexamethyldisiloxanehPa HectopascalsHPPMS High power pulse magnetron sputteringHRI High refractive indexHV Vickers hardnessHVOF High velocity oxygen fuelHWOT Half wave optical thicknessHz Hertz (cycles per second)

    I

    i Prefix used to indicate that the film was formed using beam-type film ion deposition. Examples: i-C; i-BN

    IAD Ion-assisted depositionIARC International Agency for Research on Cancer (establishes carcinogenicity of

    materials)IBA Ion beam analysisIBAD Ion beam-assisted depositionIBAE Ion beam-assisted etching

  • xxxii Acronyms Used in Vacuum Coating and Surface Engineering

    IBED Ion beam-enhanced depositionIBEST™ Ion beam surface treatmentIC Integrated circuitICB Ionized cluster beam (deposition)ICP Inductively coupled plasmaICP-MS Inductively coupled plasma mass spectrometerID Internal diameterIDLH Immediately dangerous to life or healthIDM Integrated device manufacturingIEEE Institute of Electrical and Electronic EngineersIES Institute of Environmental SciencesIG Ionization gaugeIGU Insulated glass unit (double glazing)ILD Interlayer dielectricIMD Intermetal dielectricIMEMS Integrated microelectromechanical systemsIoc Open circuit current (solar cell)IP Intellectual propertyIPA Isopropyl alcoholIPC Institute for Interconnecting and Packaging Electronic Circuits; International

    patent classificationiPVD Ionized physical vapor depositionI-PVD Ion-assisted physical vapor depositionIR InfraredIsc Short circuit current (solar cell)ISCST International Society of Coating Science and TechnologyISHM International Society for Hybrid MicroelectronicsISO International Standards OrganizationISS Ion scattering spectroscopyIT Information technology; Internet transactionsITO Indium–tin oxide alloy (90 : 10)I-V Current-voltageIVD Ion vapor depositionIWFA International Window Film Association

    J

    J Joule; Electric current (vector)JVST Journal of Vacuum Science and Technology

  • Acronyms Used in Vacuum Coating and Surface Engineering xxxiii

    K

    K Dielectric constant; Karat (fineness of gold)k Kilo (103); Boltzmann’s constant; Portion of the complex index of refraction

    given by n-ik or n(1-ik) (kappa) Optical extinction coefficient (550 – extinction at 550 nm)kcal KilocaloriekGy KiloGrayKRF Krypton fluoridekWH Kilowatt-hour

    L

    l Liter (not preferred)L Low (carbon steel); Liter (preferred)LAC Large-area coatingLAD Laser ablation depositionLASER Light amplification by stimulated emission of radiationLbL Layer by layerLC50 Median lethal doseLCD Liquid crystal displayLCM Laser confocal microscopeLCO2 Liquid CO2LCVD Laser chemical vapor depositionLDPE Low density polyethyleneLED Light-emitting diodeLEED Low energy electron diffractionLEL Lower explosive limitLEISS Low energy ion scattering spectroscopyLFL Lower flammability limitLIBS Laser-induced breakdown (plasma) spectrometryLLDPE Linear low density polyethyleneLM Layer metallizationLOCOS Local oxidation of siliconLPCVD Low pressure chemical vapor deposition (see also SACVD)LPPS Low pressure plasma sprayLIMA Laser-induced mass analysisLLS Linear least squares (statistical analysis)LiPON Lithium phosphorous oxynitrideLN, LN2 Liquid nitrogen

  • xxxiv Acronyms Used in Vacuum Coating and Surface Engineering

    low-e Low-emission; Low-emissivityLPCVD Low pressure chemical vapor depositionLRP Liquid ring pumpLSA Laser spike annealingLTEL Long-term exposure limitsLTS Long-throw sputteringLWP Long-wavelength pass filter

    M

    m Milli (suffix for 103); Meter; MolalityM Mega (prefix for 106); MinuteMBE Molecular beam epitaxymc Microcrystallinemcg MicrogramsMCrAlY Metal–chromium–aluminum–YitteriumMD Movchan–Demchiskin; Machine directionMDG Molecular drag gaugeMe MetalMe-C:H Metal-containing hydrocarbonsMEC Methylene chlorideMEMS Microelectromechanical systems (also called MST)MePIIID Metal plasma immersion ion implantation and depositionMERESAN™ Measurement and evaluation of surfaces by evaporative rate analysisMERIE Magnetically enhanced reactive ion etcherMF Mid-frequencyMFC Mass flow controllerMFM Mass flow meterMFSA Metal Finishing Suppliers’ AssociationMil Specs Military standards and specificationsmin Minutemks, MKS Meter–kilogram–second system of measurementML MonolayerMLAR Multilayer antireflection coatingMLS Monolayers per secondMMIC Monolithic microwave integrated circuitsMNS Metal–nitride–siliconMO Magneto-opticalMOCVD Metalorganic chemical vapor depositionMOMBE Metalorganic molecular beam epitaxy

  • Acronyms Used in Vacuum Coating and Surface Engineering xxxv

    mono- 1; OneMOS Metal oxide semiconductorMoS2M Metal-containing MoS2MPI Manufacturing process instructionMPP Modulated pulse power (see also HIPIMS)MR MagnetoresistiveMRS Materials Research SocietyMSDS Materials safety data sheetMST Microsystems technology (also called MEMS)MT-CVD Medium temperature chemical vapor depositionMTJ Magnetic tunnel junctionMTMOS MethyltrimethoxysilaneMTR Material test report; Moisture transmission rateMVTR Moisture vapor transmission rate

    N

    n Index of refraction; Portion of the complex index of refraction given by n-ik

    N Newton (SI unit)N Normal (solution strength – chemistry)NACE National Association of Corrosion EngineersNAMF National Association of Metal FinishersNASF National Association for Surface FinishingNBS National Bureau of Standards, which has been renamed NISTnc Nanocrystalline; NanocompositeNC Normally closedNDE Non-destructive evaluationNDT Non-destructive testingNEG Non-evaporable getterNESHAP National emission standards for hazardous air pollutantsNFPA National Fire Protection AssociationNIR Near-infraredNIST National Institute of Standards and Technology (US)nm NanometerNMR Nuclear magnetic resonanceNO Normally openNPB N-propyl bromideNRA Nuclear reaction analysisNREL National renewable energy laboratory

  • xxxvi Acronyms Used in Vacuum Coating and Surface Engineering

    NST Nanoscience and technologyNTIS National Technical Information Service (US)NVR Non-volatile residues

    O

    OD Optical density; Outside diameterODP Ozone-depletion potentialODS Ozone-depleting substanceOEM Original equipment manufacturer; Optical emission monitorOES Optical emission spectroscopyOLED Organic light-emitting devices; Organic luminescent devicesOMS Optical mass spectrometerOPP Oriented polypropyleneOS Ozone-safeOSEE Optically stimulated electron emissionOSHA Occupational Safety and Health Administration (US)OTFT Organic thin film transistorOTR Oxygen transmission rateOVD Optically variable deviceOVID Optically variable image displayOXTR Oxygen transmission rateoza or oz(a) Avoirdupois Avoirdupois ounceozt or oz(t) Troy ounce

    P

    p Parallel (Example: p wave)P Suffix used to denote plasma-deposited material (Example: P-TEOS)Pa PascalPA PolyamidePACVD Plasma-assisted chemical vapor depositionPAPVD Plasma-assisted physical vapor depositionPAVD Plasma-assisted vapor depositionPBIID Plasma-based ion implantation and depositionPC PolycarbonatePCE Perchloroethylene; Photothermal conversion efficiencyPD Plasma dopingPDP Plasma display panelPDMS PolydimethylsiloxanePE Polyethylene

  • Acronyms Used in Vacuum Coating and Surface Engineering xxxvii

    PECVD Plasma-enhanced chemical vapor depositionPEEK PolyetheretherketonePEI PolyetherimidePEL Permissible exposure limitPEM Plasma emission monitorPEMS Plasma-enhanced magnetron sputteringPERC PerchloroethylenePET Polyethylene terephthalate (polyester)penta- 5; FivePF Packing fractionPFC PerfluorocompoundsPFD Process flow diagramPFPE Perfluorinated polyetherpH Pouvoir hydrogene (hydrogen ion content)ph Precipation hardening (steel)PICVD Plasma impulse chemical vapor depositionPIID Plasma immersion ion depositionPIII Plasma immersion ion implantationPLD Pulsed laser depositionPM Preventive maintenance; Powder metallurgyPML Polymer multilayerPMS Pulsed magnetron sputteringPO Purchase orderpoly PolycrystallinePOU Point of usePP Polypropylene; Plasma polymerizationppm Parts per millionppmbv Parts per million by volumePSG Phosphosilicate glass; Phosphrous silicon oxidespsi Pounds per square inchpsia Pounds per square inch – absolutepsig Pounds per square inch – gaugePSII Plasma source ion implantationPV PhotovoltaicPVA Polyvinyl alcoholPVC Polyvinyl chloridePVD Physical vapor depositionPVDC Polyvinylidene chloridePWB Printed wiring boardPWR Plasmon-waveguide resonancePZT Lead zirconate titanate (PbZrTiO3)

  • xxxviii Acronyms Used in Vacuum Coating and Surface Engineering

    Q

    Q Charge in coulombsQA Quality assuranceQC Quality controlQCM Quartz crystal microbalance; Quartz crystal monitorQMS Quadrupole mass spectrometer0D (zeroD) Quantum dot (structure)QWOT Quarter wavelength optical thickness

    R

    R Resistance; Organic radical in chemical nomenclatureRa Roughness (average)Rmax Roughness (maximum)Rs Sheet resistance; Spreading resistanceR2R Roll to rollRAM Random access memoryRBS Rutherford backscattering spectrometryRED Reflection electron diffractionrf Radio frequency (preferable to RF)RFI Radio frequency interferenceRFID Radio frequency identificationRFQ Request for quoteRGA Residual gas analyzerRH Relative humidityRHEED Reflection high energy electron diffractionRIBE Reactive ion beam etchingRIE Reactive ion etchingRMOS Refractory metal oxide semiconductorrms Root mean squareRO Reverse osmosisROI Return on investmentROM Read-only memoryROW Rest of worldRPE Reactive plasma etchingrpm Revolutions per minuterps Revolutions per secondRT Room temperatureRTA Rapid thermal annealingRTCVD Rapid thermal chemical vapor deposition

  • Acronyms Used in Vacuum Coating and Surface Engineering xxxix

    RTN Rapid thermal nitridationRTP Rapid thermal processingRTSPC Real time statistical process control

    S

    S Reciprocal ohm; mho; Siemens Second; Perpendicular (as in s-wave); Standard deviationSACVD Sub-atmospheric chemical vapor depositionSAD Selected area diffraction; Spotless arc-activated depositionSAE Society of Automotive EngineersSAM Scanning Auger spectroscopySAMPE Society for the Advancement of Materials and Processing EngineeringSAW Surface acoustic waveSCBA Self-contained breathing apparatussccm Standard cubic centimeters per minutesccs Standard cubic centimeters per secondscf Standard cubic feetSCF Supercritical fluidsclm Standard cubic liters per minutescls Standard cubic liters per secondscm Standard cubic metersSCM Scanning capacitance microscopeSCR Silicon-controlled rectifierSCSI Small computer systems interfaceSEAM Scanning electron acoustic microscopeSEI Secondary electron imageSEM Scanning electron microscope; Scanning electron microscopySEMI Semiconductor Equipment and Materials InternationalSFM Scanning force microscopesg Specific gravitySI Système International d’Unités (International System of Units)SIAM Scanning interferometric aperatureless microscopeSIMOX Separation by implanted oxygenSIMS Secondary ion mass spectroscopySION Silicon oxynitrideSIP Sputter ion plating; Self-ionized plasmaSIS Semiconductor-insulator-semiconductorSK Stranski–KrastanovSLAM Scanning laser acoustic microscope; Scanning laser acoustic microscopySLAR Single layer antireflection

  • xl Acronyms Used in Vacuum Coating and Surface Engineering

    slm Standard liters per minuteSMART Self-monitoring analysis and reporting technologySME Society of Manufacturing EngineersSMIF Standard mechanical interfaceSMT Surface mount technologySNMS Secondary neutral mass spectrometrySOD Spin-on-dielectricSOG Spin-on-glassSOI Silicon-on-insulatorSPC Statistical process controlSPE Solid phase epitaxySPIE International Society for Optical EngineeringSPM Scanning probe microscopeSQUID Superconducting quantum interference devicesr SteradianSRAM Static random access memorySRG Spinning rotor gaugeSRM Standard reference materialSS (SST) Stainless steelSSIS Surface scanning inspection systemsSSMS Spark source mass spectrometrystd StandardSTEL Short-term exposure limitSTEM Scanning transmission electron microscopySThM Scanning thermal microscopySTI Shallow trench isolationSTM Scanning tunneling microscope; Scanning tunneling microscopySTP Standard temperature (0°C) and pressure (760 Torr)SVC Society of Vacuum CoatersSWP Short-wavelength pass filterSZM Structure-zone-model

    T

    TA Thermal analysisTAB Tape-automated bondingt:a-C Tetrahedral amorphous carbonta-C:H Tetrahedral-bonded carbon (no hydrogen) (one form of diamond-like

    carbon)TA-MS Thermal analysis with mass spectrometryTBAH Tetrabutylammonium hydroxide

  • Acronyms Used in Vacuum Coating and Surface Engineering xli

    TC Thermocouple; Thermocompression; Time constantTCA 1,1,1-trichloroethane (or methyl chloroform)TCC Transparent conductive coatingTCE Trichloroethylene (CHCl : CCl2); Thermal coefficient of expansionTCLP Toxicity characteristic leaching procedureTCO Transparent conductive oxideTCP Transformer-coupled plasmaTCR Temperature coefficient of resistivityTD Transverse directionTDMS Thermal desorption mass spectrometryTEM Transmission electron microscope; Transmission electron microscopyTEOS Tetraethoxysilanetetra- 4; FourTFI Thin-film inductiveTFT Thin-film transistorTGA Thermogravimetric analysisTGA-MS Thermogravimetric analysis with mass spectrometryTIBA TriisobutylaluminumTIS Total integrated scatterTiW (W : 10wt%Ti) or (W : 30at% Ti) (alloy)TLV Threshold limit values™ TrademarkTMDSO TetramethyldisiloxaneTMMOS TrimethylmethoxysilaneTMP Turbomolecular pumpTMS Tetramethyldisiloxane (TMDSO preferred)TPD Temperature-programmed-desorptiontri- 3; ThreeTSHT Total solar heat transmittanceTTT Tritium tracer techniqueTWA Time-weighted averageTWM Thermal wave microscopyTZM Alloy of titanium, zirconium, and molybdenum

    U

    u Unified atomic mass unitUBM Unbalanced magnetronUBS Unbalanced magnetron sputteringUCHF Ultraclean high flowUEL Upper explosive limit

  • xlii Acronyms Used in Vacuum Coating and Surface Engineering

    UF Ultra-filtrationUHP Ultrahigh purityUHV Ultrahigh vacuumULPA Ultralow-permeation airULSI Ultralarge scale integrationuPE Unplasticized PEuPVC Unplasticized polyvinyl chlorideUPW Ultrapure waterUSPTO US Patent and Trademark OfficeUTS Ultimate tensile strengthUV Ultraviolet

    V

    v VelocityV Volt; Voltage (as in capacitance-voltage measurements)VAR Vacuum arc remeltingVCR Voltage coefficient of resistanceVD Vacuum degassingVEPA Very high efficiency particulate air (filter)VHV Very high vacuumVIM Vacuum induction meltingVLP- Very low pressure (-PECVD)VLR Visible light reflectionVLT Visible light transmissionVOC Volatile organic compoundVoc Open circuit voltage (solar cell)VOD Vacuum oxygen decarburizationVPE Vapor phase epitaxyVPS Vacuum plasma sprayVUHV Very ultrahigh vacuumVUV Vacuum ultraviolet

    W

    W Watt (power)Wp Peak power (watts)WCC Tungsten carbide plus carbon, also CrCC, etc. Also WC-C and WC : CWDS Wavelength dispersive spectrometryWDM Wavelength division multiplexingWDX Wavelength-dispersive X-ray

  • Acronyms Used in Vacuum Coating and Surface Engineering xliii

    WLP Wafer-level packagingWORM Write once read manyWp Watt peakwt% Weight per centWVTR Water vapor transmission rate

    X

    XES X-ray energy spectroscopyXPS X-ray photoelectron spectroscopyXRD X-ray diffractionXRF X-ray fluorescenceXRM X-ray microanalysisXRT X-ray topographyXUHV Extra ultrahigh vacuum

    Y

    Y Young’s modulusYAG Yttrium aluminum garnet

    Z

    Z Atomic number of an elementZAO Aluminum-doped zinc oxideZD Zero defects

    Miscellaneous Symbols

    2D Two-dimensional3D Three-dimensional Amorphous Standard deviationø Diameter Ohm Micronc Microcrystallinem Micrometern Frequency Wavelength

  • xlv

    Donald M. Mattox

    Don Mattox obtained his B.S. degree in Physics from Eastern Kentucky State University in 1953. He served as a Lieutenant in the USAF during and after the Korean War. During the war he obtained a Meteorology degree from MIT. After being discharged from the service he obtained his M.S. degree in Solid State Physics from the University of Kentucky in 1959 and went to work for Sandia Corporation (later Sandia National Laboratories) in 1961. Don retired from Sandia National Laboratories in 1989 after 28 years as a Member of the Technical Staff and then as a Technical Supervisor. At retirement he was Supervisor of the Surface and Interface Technology Division. He has had more than 45 years’ experience in research, development, application, and production of thin films and coatings prepared by PVD processes as well as other techniques such as electrodeposition, CVD and thermal spraying. In addition he has had extensive experience in many other phases of material science in that he has supervised persons involved in the research, development, and application of organic materials, adhesive bonding, ceramic and glass fabrication, ceramic metallizing, surface chemical analytical techniques, tribology, and failure analysis. He has had extensive experience in transferring technology from R&D into production, instructing engineering and production personnel, and in “troubleshooting” production problems. Don was President of the American Vacuum Society (now AVS: Science and Technology of Materials, Interfaces, and Processing) in 1985. In 1988, the 9th International Congress on Vacuum Metallurgy presented him with an award for “outstanding contributions to metallurgical coating technology for the period 1961–1988” and in 1995 he was the recipient of the AVS Albert Nerken Award for his work in the development of the ion plating process. From 1989 to 2006 Don was the Technical Director of the Society of Vacuum Coaters as well as being a consultant to industry on PVD processing. In 2007 Don received the Nathaniel H. Sugerman Award from the Society of Vacuum Coaters. At present he is a consultant in the field of PVD processing with Management Plus, Inc. of Albuquerque, NM. Don has taught courses on PVD processing and vacuum technology to the Sandia National Laboratories, AVS, MRS, SVC, and at numerous industry venues.

    Don has published more than 100 papers and book chapters on the subject of PVD processing and other coating processes as well as the first technical paper on the PVD process, called “Ion Plating.” Don is the author of the book Handbook of Physical Vapor Deposition (PVD)

  • xlvi Donald M. Mattox

    Processing (1998) and The Foundations of Vacuum Coating Technologies (2003), both published by Elsevier (William Andrew Publishing/Noyes Publications), and Education Guides to Vacuum Coating Processing, published by the Society of Vacuum Coaters (2009). Don is the co-editor of Adhesion in Solids, Vol. 119, MRS Symposium Proceedings (1988) and, along with Vivienne Harwood Mattox, edited the book 50 Years of Vacuum Coating Technology and the Growth of the Society of Vacuum Coaters published by the Society of Vacuum Coaters (2007). Don is the editor of the Proceedings of the Annual Technical Conference, Society of Vacuum Coaters and the Bulletin, Society of Vacuum Coaters.

  • �Handbook of Physical Vapor Deposition (PVD) Processing, ISBN: 9780815520375 Copyright © 2010 Elsevier Inc. All rights of reproduction in any form reserved.

    Chapter 1

    Introduction

    1.1 Surface engineering

    Surface engineering involves changing the properties of the surface and near-surface region in a desirable way. Surface engineering can involve an overlay process or a surface modification process. In overlay processes a material is added to the surface and the underlying material (substrate) is covered and not detectable on the surface. A surface modification process changes the properties of the surface but the substrate material is still present on the surface. For example, in aluminum anodization, oxygen reacts with the anodic aluminum electrode of an electrolysis cell to produce a thick oxide layer on the aluminum surface. Table 1.1 shows a number of overlay and surface modification processes that can be used for surface engineering.

    Each process has its advantages, disadvantages, and applications. In some cases surface modification processes can be used to modify the substrate surface prior to depositing a film or coating. For example, a steel surface can be hardened by plasma nitriding (ionitriding) prior to the deposition of a hard coating by a physical vapor deposition (PVD) process. In other cases, a surface modification process can be used to change the properties of an overlay coating. For example, a sputter-deposited coating on an aircraft turbine blade can be shot peened to densify the coating and place it into compressive stress.

    An atomistic deposition process is one in which the overlay material is deposited atom-by-atom. The resulting film can range from single crystal to amorphous, fully dense to less than fully dense, pure to impure, and thin to thick. Generally the term “thin film” is applied to layers which have thicknesses on the order of a micron or less (1 micron 106 meters) and may be as thin as a few atomic layers. Thicker deposits are called coatings. The term “thick film” is usually not used for thick atomistically deposited vacuum deposits as that term is used for “paint-on, fire-on” types of deposition.

    Often the properties of thin films are affected by the properties of the underlying material (substrate) and can vary through the thickness of the film. Thicker layers are generally called coatings. An atomistic deposition process can be done in a vacuum, plasma, gaseous, or electrolytic environment.

  • �  Chapter �

    �.�.�  Physical Vapor Deposition (PVD) Processes

    Physical vapor deposition processes (often just called thin film processes) are atomistic deposition processes in which material is vaporized from a solid or liquid source in the form of

    table 1.1: Some processes for Surface engineering.

    atomistic/Molecular Deposition Bulk Coatings

    Electrolytic EnvironmentElectroplatingElectroless platingsDisplacement platingElectrophoretic deposition

    Vacuum EnvironmentVacuum evaporationIon beam sputter depositionIon beam assisted deposition (IBAD)Laser vaporizationHot-wire and low pressure CVDJet vapor deposition

    Plasma EnvironmentSputter depositionArc vaporizationIon platingPlasma enhanced (PE) CVDPlasma polymerization

    Chemical Vapor EnvironmentChemical vapor deposition (CVD)Pack cementation

    Chemical SolutionSpray pyrolysisChemical reduction

    Particulate DepositionThermal SprayFlame sprayArc-wire sprayPlasma sprayingD-gunHigh-velocity-oxygen-fuel (HVOF)

    Impact PlatingMechanical plating

    Wetting ProcessesDip coatingSpin coatingPainting

    Fusion CoatingsThick filmsEnamelingSol-gel coatingsPowder coating

    Solid CoatingCladdingWeld overlayGilding

    Surface ModificationChemical ConversionWet chemical solution (dispersion & layered)Gaseous (thermal) plasma

    Electrolytic EnvironmentAnodizingIon substitutionPlasma electrolysis

    MechanicalShot peeningWork hardening

    Thermal TreatmentThermal stressing

    Ion ImplantationIon beamPlasma immersion ion implantation (PIII)

    Roughening and SmoothingChemicalMechanicalChemical–mechanical polishingSputter texturing

    Enrichment and DepletionThermalChemical

  • Introduction  �

    atoms or molecules and transported in the form of a vapor through a vacuum or low pressure gaseous (or plasma) environment to the substrate, where it condenses. Typically, PVD processes are used to deposit films with thicknesses in the range of a few nanometers to thousands of nanometers; however, they can also be used to form multilayer coatings, graded composition deposits, very thick deposits, and freestanding structures. The substrates can range in size from very small to very large, for example the 10 12 glass panels used for architectural glass. The substrates can range in shape from flat to complex geometries such as watchbands and tool bits. Typical PVD deposition rates are 10–100Å (1–10 nanometers) per second.

    Physical vapor deposition processes can be used to deposit films of elements and alloys as well as compounds using reactive deposition processes. In reactive deposition processes, compounds are formed by the reaction of the depositing material with the ambient gas environment such as nitrogen (e.g. titanium nitride, TiN) or with a co-depositing material (e.g. titanium carbide, TiC). Quasi-reactive deposition is the deposition of films of a compound material from a compound source where loss of the more volatile species or less reactive species during the transport and condensation process is compensated for by having a partial pressure of reactive gas in the deposition environment; for example, the quasi-reactive sputter deposition of ITO (indium–tin oxide) from an ITO sputtering target using a partial pressure of oxygen in the plasma.

    The main categories of PVD processing are vacuum deposition (evaporation), sputter deposition, arc vapor deposition, and ion plating, as depicted in Figure 1.1.

    Vacuum Deposition (Vacuum Evaporation)

    Vacuum deposition (Ch. 6), which is sometimes called vacuum evaporation, is a PVD process in which material from a thermal vaporization source reaches the substrate with little or no collision with gas molecules in the space between the source and substrate. The trajectory of the vaporized material is “line of sight.” The vacuum environment also provides the ability to reduce gaseous contamination in the deposition system to a low level. Typically, vacuum deposition takes place in the gas pressure range of 105 Torr to 109 Torr, depending on the level of gaseous contamination that can be tolerated in the deposition system. The thermal vaporization rate can be very high compared to other vaporization methods. The material vaporized from the source has a composition which is in proportion to the relative vapor pressures of the material in the molten source material. Thermal evaporation is generally done using thermally heated sources such as tungsten wire coils or by high energy electron beam (e-beam) heating of the source material itself. Generally, the substrates are mounted at an appreciable distance away from the evaporation source to reduce radiant heating of the substrate by the vaporization source.

    Vacuum deposition is used to form optical interference coatings, mirror coatings, decorative coatings, permeation barrier films on flexible packaging materials, electrically conducting films, wear resistant coatings, and corrosion protective coatings.

  • �  Chapter �

    Sputter Deposition

    Sputter deposition (Ch. 7) is the deposition of particles vaporized from a surface (“target”) by the physical sputtering process. Physical sputtering is a non-thermal vaporization process where surface atoms are physically ejected from a solid surface by momentum transfer from an atomic-sized energetic bombarding particle, which is usually a gaseous ion, accelerated from a plasma. This PVD process is sometimes just called sputtering, i.e. “sputtered films of —,” which is an improper term in that the film is not being sputtered. Generally the source-to-substrate distance is short compared to vacuum deposition. Sputter deposition can be performed by energetic ion bombardment of a solid surface (sputtering target) in a vacuum using an ion gun or low pressure plasma (5 mTorr) (Ch. 5) where the sputtered particles suffer few or no gas phase collisions in the space between the target and the substrate.

    Sputtering can also be done in a higher plasma pressure (5–30 mTorr) where energetic particles sputtered or reflected from the sputtering target are “thermalized” by gas phase

    Substrate

    Substrate Substrate Substrate Substrate

    Substrate Substrate

    Vacuum

    Filament(a)

    Vacuum evaporation

    Plasma

    Plasma Plasma

    Plasma

    Plasma Cathode

    Cathodic Arc

    Target(b)

    +

    + +

    Target(c)

    Sputter deposition

    Moltenglobules

    or “Macros”

    SubstrateArc

    Plasma

    Gas/Metallons

    (d)

    Anodewater cooled

    +V

    +

    +V

    –V –V

    e-

    Filament(e)

    Target(f)

    Arc cathode(g)

    ++ +

    + +–

    Ion plating

    Vacuum

    Ion gun

    Filament(h)

    IBAD

    Figure 1.1: pVD processing techniques: (a) Vacuum evaporation, (b) and (c) Sputter Deposition in a plasma environment, (d) Sputter Deposition in a Vacuum, (e) Ion plating in a plasma environment with a thermal evaporation Source, (f) Ion plating with a Sputtering Source,

    (g) Ion plating with an arc Vaporization Source, and (h) Ion Beam-assisted Deposition (IBaD) with a thermal evaporation Source and Ion Bombardment from an Ion Gun

  • Introduction  �

    collisions before they reach the substrate surface. The plasma used in sputtering can be confined near the sputtering surface or may fill the region between the source and the substrate. The sputtering source can be an element, alloy, mixture, or a compound and the material is vaporized with the bulk composition of the target. The sputtering target provides a long-lived vaporization source that can be mounted so as to vaporize in any direction. Compound materials such as TiN and zirconium nitride (ZrN) are commonly “reactively sputter deposited” by using a reactive gas in the plasma. The presence of the plasma “activates” the reactive gas (“plasma activation”), making it more chemically reactive.

    Sputter deposition is widely used to deposit thin film metallization on semiconductor material, coatings on architectural glass, and reflective coatings on compact discs (CDs), and for magnetic films, dry film lubricants, hard coatings (tools, engine parts), and decorative coatings.

    Arc Vapor Deposition

    Arc vapor deposition (Ch. 8) uses a high current, low voltage arc to vaporize a cathodic electrode (cathodic arc) or anodic electrode (anodic arc) and deposit the vaporized material on a substrate. The vaporized material is highly ionized and usually the substrate is biased so as to accelerate the ions (“film ions”) to the substrate surface.

    Arc vapor deposition is used to deposit hard and decorative coatings. The ions (“film ions”) that are formed in arc vaporization are useful in the ion plating process.

    Ion Plating

    Ion plating (Ch. 9), which is sometimes called ion-assisted deposition (IAD) or ion vapor deposition (IVD), utilizes concurrent or periodic bombardment of the depositing film by atomic-sized energetic particles to modify and control the properties of the depositing film. In ion plating the energy, flux, and mass of the bombarding species along with the ratio of bombarding particles to depositing particles are important processing variables. The depositing material may be vaporized either by evaporation, sputtering, arc erosion, or by decomposition of a chemical vapor precursor.

    The energetic particles used for bombardment are usually ions of an inert or reactive gas, or, in some cases, ions of the condensing film material (“film ions”). Ion plating may be done in a plasma environment where ions for bombardment are extracted from the plasma or it may be done in a vacuum environment where ions for bombardment are formed in a separate “ion gun.” The latter ion plating configuration is often called ion beam-assisted deposition (IBAD). By using a reactive gas in the plasma, films of compound materials can be deposited. Ion plating can provide dense coatings at relatively high gas pressures where gas scattering can enhance surface coverage.

  • �  Chapter �

    Ion plating is used to deposit hard coatings of compound materials, adherent metal coatings, optical coatings with high densities, and conformal coatings on complex surfaces.

    �.�.�  Non-PVD Thin Film Atomistic Deposition Processes

    There are a number of other thin film deposition processes that should be considered for certain applications. For example, a TiN hard coating can be deposited by PVD or chemical vapor deposition (CVD).

    Chemical Vapor Deposition (CVD) and PECVD

    Thermal CVD (or vapor plating) is the deposition of atoms or molecules by the high temperature reduction or decomposition of a chemical vapor precursor species, which contains the material to be deposited. Reduction is normally accomplished by hydrogen at an elevated temperature. Decomposition is accomplished by thermal activation. The deposited material may react with other gaseous species in the system to give compounds (e.g. oxides, nitrides). Chemical vapor deposition processing is generally accompanied by volatile reaction byproducts and unused precursor species. Chemical vapor deposition has numerous other names and adjectives associated with it such as vapor phase epitaxy (VPE) when CVD is used to deposit single crystal films, metalorganic CVD (MOCVD) when the precursor gas is a metalorganic species, plasma-enhanced CVD (PECVD) when a plasma is used to induce or enhance decomposition and reaction, and low pressure CVD (LPCVD) when the pressure is less than ambient.

    Plasmas may be used in CVD reactors to “activate” and partially decompose the precursor species. This allows deposition at a temperature lower than thermal CVD and the process is called plasma-enhanced CVD (PECVD) or plasma-assisted CVD (PACVD). The plasmas are typically generated by radio frequency (rf) techniques. Figure 1.2 shows a parallel plate CVD reactor that uses rf power to generate the plasma. This type of PECVD reactor is in common use in the semiconductor industry to deposit silicon nitride (Si3N4) and phosphosilicate glass (PSG), encapsulating layers a few microns thick with deposition rates of 5–100 nm/min. At low pressures, concurrent energetic particle bombardment during deposition can affect the properties of films deposited by PECVD.

    Plasma-based CVD may also be used to deposit polymer films (plasma polymerization). In this case the precursor vapor is a monomer that becomes crosslinked in the plasma and on the surface to form an organic or inorganic polymer film. These films have very low porosity and excellent surface coverage. When plasma depositing films from organo-silane precursors, oxygen can be added to the plasma to oxidize some of the silicon in the film.

    Electroplating, Electroless Plating, and Displacement Plating

    Electroplating is the deposition on the cathode of metallic ions from the electrolyte of an electrolysis cell. Only about 10 elements (Cr, Ni, Zn, Sn, In, Ag, Cd, Au, Pb, and Rh) are

  • Introduction  �

    commercially deposited from aqueous solutions. Some alloy compositions such as Cu–Zn, Cu–Sn, Pb–Sn, Au–Co, Sn–Ni, Ni–Fe, Ni–P and Co–P are commercially deposited. Conductive oxides such as PbO2 and Cr2O3 can also be deposited by electroplating. A thin film of material deposited by electroplating is often called a “flash” and is on the order of 40 millionths of an inch thick. Typically, the anode of the electrolytic cell is of the material being deposited and is consumed in the deposition process. In some cases, the anode material is not consumed and the material to be deposited comes only from the solution, which must be continually replenished. For example, lead oxide, PbO2, can be electrodeposited from a lead nitrate plating bath using carbon anodes. Stainless steel and platinum are also often used as non-consumable anode materials.

    In electroless or autocatalytic plating, no external voltage/current source is required. The voltage/current is supplied by the chemical reduction of an agent at the deposit surface. The reduction reaction is catalyzed by a material, which is often boron or phosphorous. Materials that are commonly deposited by electroless deposition are: Ni, Cu, Au, Pd, Pt, Ag, Co, and Ni–Fe alloys. Displacement plating is the deposition of ions in solution on a surface and results from the difference in electronegativity of the surface and the ions. The relative

    Substrates

    Rf power input

    Electrode

    Plasma

    Heater Heater

    Vacuumand

    scrubberexhaust

    Vacuumand

    scrubberexhaust

    Chemicalprecursor

    vapors

    Rotatingshaft

    Figure 1.2: parallel plate plasma-enhanced Chemical Vapor Deposition (peCVD) reactor. typical parameters are: radio Frequency (rf) – 50 khz to 13.56 Mhz; temperature – 25 to

    700°C; pressure – 100 mtorr to 2 torr; Gas Flowrate – 200 sccm

  • �  Chapter �

    electronegativities (electromotive series) of some elements are shown in Table 1.2. For example, gold in solution will displacement plate-out on copper and lead will displacement plate-out on aluminum.

    Electrophoresis is the migration of charged particles in an electric field. Electrophoretic deposition, or electrocoating, is the electrodeposition of large charged particles from a solution.[1] The particles may be charged dielectric particles (glass particles, organic molecules, paint globules, etc.) that are non-soluble in the aqueous electrolyte. Alternatively, some of the components can be treated so that they are soluble in water but will chemically react in the vicinity of an electrode so their solubility is decreased. Particles are usually deposited on the anode but sometimes on the cathode (cataphoresis).

    Chemical Reduction

    Some thin films can be deposited from chemical solutions at low temperatures by immersion in a two-part solution that gives a reduction reaction. “Chemical silvering” of mirrors and vacuum flasks is a common example.[2,3] The glass surface to be silvered is cleaned very thoroughly then nucleated using a hot acidic stannous chloride solution or by vigorous swabbing with a saturated solution of SnCl2. The surface is then immediately immersed in the silvering solution where a catalyzed chemical reduction causes silver to be deposited on the glass surface. Copper oxide (Cu2O) films can be deposited from mixing solutions of CuSO4 Na2S2O3 (sodium thiosulfate) and NaOH.

    table 1.2: electromotive Series of Some elements.

    the electromotive series

    Material potential (V) Material potential (V)

    Li Li 3.045 Co Co2 0.277Rb Rb 2.93 Ni Ni2 0.250K K 2.924 Sn Sn2 0.136Ba Ba2 2.90 Pb Pb2 0.126Sr Sr2 2.90 Fe Fe3 0.04Ca Ca2 2.87 Pt/H2 H 0.0000Na Na 2.715 Sb Sb3 0.15Mg Mg2 2.37 Bi Bi3 0.2Al AI3 1.67 As As3 0.3Mn Mn2 1.18 Cu Cu2 0.34Zn Zn 0.762 Pt/OH O2 0.40Cr Cr3 0.74 Cu Cu 0.52Cr Cr2 0.56 Hg Hg2 0.789Fe Fe2 0.441 Ag Ag 0.799Cd Cd2 0.402 Pd Pd2 0.987In ln3 0.34 Au Au3 1.50Tl Tl 0.336 Au Au 1.68

  • Introduction  �

    Elemental materials such as platinum, gold, tin, and indium can be deposited by the thermal decomposition of a chemical solution. For example, platinum can be deposited by the thermal decomposition of platinum chloride solution on the surface.

    �.�.�  Applications of Vacuum-deposited Materials

    Some of the most utilized applications of thin film deposition processes include:

    • Single and multilayer films and coatings

    • Nanolayered materials

    • Optical films for transmission and reflection

    • Decorative films

    • Decorative and wear-resistant (decorative/functional) coatings

    • Permeation barriers for moisture and gases

    • Corrosion-resistant films

    • Electrically insulating layers for microelectronics

    • Coating of engine turbine blades

    • Coating of high strength steels to avoid hydrogen embrittlement

    • Diffusion barrier layers for semiconductor metallization

    • Magnetic films for recording media

    • Transparent electrical conductors and antistatic coatings

    • Wear and erosion-resistant (hard) coatings (tool coatings)

    • Dry film lubricants

    • Composite and phase-dispersed films and coatings

    • Nanocomposite materials

    • Thin-walled freestanding structures and foils

    Some materials deposited by PVD processes are as follows.

    Some elements:

    • Gold – electrical conductor, anticorrosion surface, surface replication, bondable surface, infrared (IR) reflectance

  • �0  Chapter �

    • Silver – electrical conductor, heat reflector, optical mirrors, low shear solid film lubricant

    • Aluminum – electrical conductor, optical reflectance, corrosion resistance, permeation barrier

    • Copper – electrical conductor, solderability

    • Cadmium – corrosion resistance (being phased out)

    • Zinc – corrosion resistance

    • Titanium – “glue layer” to oxides

    • Chromium – “glue layer” to oxides, corrosion resistance, hard coating

    • Palladium – galvanic corrosion layer between Ti and Au

    • Molybdenum – “glue layer” to oxides

    • Tantalum – corrosion and erosion resistance

    • Beryllium – freestanding X-ray windows

    • Carbon (DLC) – hard coat, chemically resistant, low friction

    • Nickel – “glue layer” to metals, basecoat on brass

    • Silicon – semiconductor devices

    • Selenium – photosensitive material

    Some mixtures (physical mixtures or above solubility limits):

    • Silicon dopants – semiconductor devices

    • Nanophase composites – hard coatings

    Some glasses:

    • Amorphous silicon (a–Si) – semiconductor, photovoltaic

    • Phosphorus silicon oxides (PSGs) – encapsulant

    Some alloys:

    • Zinc aluminum – corrosion protection

    • Aluminum copper silicon – semiconductor metallization

  • Introduction  ��

    • Indium tin/oxide – transparent electrical conductor, IR reflection

    • Nickel chromium – “glue layer” to oxides, electrical resistance

    • Tungsten titanium – semiconductor metallization, diffusion barrier (W:Ti [90:10 wt%; 70:30 at %])

    Some compounds:

    • Titanium nitride – diffusion barrier coating, tool coating, decorative coating

    • Titanium/Carbon nitride – tool coating, decorative coating

    • Titanium/Aluminum nitride – wear coating

    • Chromium nitride – hard coating, low friction

    • Aluminum oxide – permeation barrier, diffusion barrier

    • Titanium oxide – high index optical coating

    • Silicon dioxide – low index optical coating

    • Magnesium fluoride – low index optical coating

    • Molybdenum disulfide – solid film lubricant

    • Molybdenum diselenide – solid film lubricant (electrical conductor)

    • Tantalum oxide – high index optical coating

    • Zinc sulfide – high index optical coating

    Some layered systems:

    • Ti/Au, Ti/Pd/Cu/Au, Ti/Ag, Ti/Pd/Ag – electrical conductor electrodes on oxides

    • Cr/Au, Cr/Pd/Au – oxide metallization

    • Mo/Au – oxide metallization

    • TiN/Al – silicon metallization

    • Ni/Cr – basecoat on brass

    • Nanolayered composites – hard/wear coatings

    • ZnOx : Ag : Zn (thin): ZnOx : TiOx – low-e (low emission) glass coating

    • SnOx : Ag : NiCr (thin) : SnOSnOx – low-e glass coating on glass

  • ��  Chapter �

    1.2 thin Film processing

    �.�.�  Stages of Fabrication

    The production of useful and commercially attractive “engineered surfaces” using PVD deposition processes involves a number of stages that are interdependent. The stages are:

    • Choice of the substrate (“real surface” – Ch. 2).

    • Defining and specifying critical properties of the substrate surface and how these can be determined.

    • Development of an appropriate surface preparation process which includes cleaning and may involve changing the surface morphology or chemistry (surface modification).

    • Selection of the film material(s) and film structure to produce the film adhesion and film properties required.

    • Choice of the fabrication process to provide reproducible coating properties and long-term stability.

    • Development of production equipment that will give the necessary product throughput.

    • Development of the fabrication equipment, process parameters, parameter limits, and monitoring/control techniques to give a good product yield.

    • Development of appropriate characterization techniques to determine the properties and stability of the product.

    • Possibly the development of techniques for the reprocessing or repair of parts with defective coatings.

    • Creation of written specifications and manufacturing process instructions (MPIs) for all stages of the processing.

    �.�.�  Factors that Affect Film Properties

    Deposited thin films and coatings generally have unique properties compared to the material in bulk form and there are no handbook values for such properties. There have been many books and articles on film deposition and film properties but generally these treatments do not emphasize the importance of the substrate surface and deposition conditions on the film properties. The properties of a film of a specific material formed by any atomistic deposition process depend on five factors, namely:

    • Substrate surface condition before and after cleaning and surface modification – e.g. surface morphology (roughness, inclusions, particulate contamination), surface

  • Introduction  ��

    chemistry (surface composition, contaminants), mechanical properties, surface flaws, outgassing, preferential nucleation sites, and the stability of the surface.

    • Details of the deposition process and system geometry – e.g. the deposition process used, angle-of-incidence distribution of the depositing adatom flux, substrate temperature, deposition rate, gaseous contamination, concurrent energetic particle bombardment (flux, particle mass, energy).

    • Details of film growth on the substrate surface – e.g. condensation and nucleation of the arriving atoms (adatoms), interface formation, interfacial flaw generation, energy input to the growing film, surface mobility of the depositing adatoms, growth morphology of the film, gas entrapment, reaction with deposition ambient (including reactive deposition processes), changes in the film properties during deposition.

    • Intermediate processing – e.g. periodic ion bombardment, oxidation between layers, wiping or burnishing between layers.

    • Post-deposition processing and reactions – e.g. chemical reaction of the film surface with the ambient, subsequent processing, thermal or mechanical cycling, corrosion, interfacial degradation; surface treatments such as burnishing of soft surfaces, shot peening, overcoating (“topcoat”), or chemical modification such as chromate conversion. Changes due to service environment.

    In order to have reproducible film properties, each of these factors must be reproducible. When problems occur in manufacturing, each of these factors should be considered as a possible source of the problem.

    Chapter 2 discusses the “real surface” (substrate) on which the film must be deposited. The real surface never has the same composition as the bulk material. With some materials, such as polymers, the surface and bulk material are affected by its history.

    Characterization of the elemental, phase, microstructural, morphological, and physical properties of real surfaces is important in establishing criteria for the reproducible surface necessary to produce reproducible film properties. The substrate surface morphology can have a large effect on the film morphology and properties, as discussed in Ch. 10. The physical and mechanical properties of the substrate surface can affect the performance of the film structure and the apparent adhesion of the film to the surface (Ch. 12). The real surface can be modified in desirable ways prior to the deposition of the film structure.

    A contaminant can be defined as any material in the ambient or on the surface that interferes with the film formation process, affects the film properties, or influences the film stability in an undesirable way. In most cases the concern is with both the type and amount of the contaminant. Contaminants can cover the whole surface, as with oxide reaction layers or an

  • ��  Chapter �

    adsorbed hydrocarbon layer, or they can be limited to restricted areas such as particulates or fingerprints. A major concern in processing is the variability of the contamination in such a manner as to affect product and process reproducibility.

    Cleaning is the reduction of the type and amount of contamination to an acceptable level of the substrate surface; it is an important step in PVD processing and is discussed in Ch. 13. In PVD processing this cleaning can be done external to the deposition system (external cleaning) and internal to the deposition system (in situ cleaning). The manner in which a surface can be cleaned is often controlled, to some extent, by government regulations on pollution control (US EPA (Environmental Protection Agency)) and workplace safety (US OSHA (Occupational Safety and Health Administration)).

    Contamination encountered in PVD processes can be categorized as:

    • Substrate surface-related – e.g. oxide layers on metals, embedded particulates.

    • Ambient (external) process-related – e.g. chemical residues, water stains.

    • Ambient (external) environment-related – e.g. settled airborne particulates, adsorbed water vapor and hydrocarbons.

    • Deposition environment-related – e.g. residual gases in the vacuum/plasma environment, water desorbed from vacuum surfaces, particulates and vapors in the deposition system.

    • Deposition process-related – e.g. contaminant vapors and particulates from vaporization sources, fixtures and tooling.

    • Post-deposition contamination – e.g. oxides formed on the free surfaces of the deposited film, adsorbed hydrocarbons.

    Chapters 3, 4 and 5 discuss the environment in the deposition chamber and how this environment can contribute to contamination that affects film properties. The properties of the deposition environment are determined by contamination in the vacuum or plasma environment and contamination released by the processing. Often these sources of contamination can change with time due to changes in the internal surface area of the deposition system as film material builds up on fixtures and vacuum surfaces, degradation of the vacuum integrity of the system, degradation of the vacuum pumping system, buildup of contamination from all sources, catastrophic changes due to a lack of fail-safe design of the deposition system, and/or improper operating procedures. These changes can be reflected in product yield. Where very clean processing, as is used in the semiconductor industry, is required, contamination in the deposition ambient may be the controlling factor in product yield.

    Chapter 14 discusses the external processing environment, which is the laboratory or production environment in which the substrates, fixtures, vaporization sources, etc. are

  • Introduction  ��

    processed prior to insertion in the deposition chamber. This environment consists not only of the air but also processing gas and fluids, surfaces that can come into contact with the substrate, etc. This processing environment always contains potential contaminants. The control of this environment is often critical to ensuring process and product reproducibility. In some cases, the effect of the processing environment can be minimized by integrating the external processing into the processing line. An example is the use of washing and drying modules connected to the in-line deposition system used to coat flat-glass mirrors.

    �.�.�  Scale-Up and Manufacturability

    The ability to scale-up (up-scale) a deposition process and associated equipment to provide a quality product at an attractive price is essential in the commercialization of any process. It is important that the development work be done on representative substrate material and with processes and equipment that can be scaled to production requirements.a,b An important factor in manufacturability is the deposition fixturing, which holds the substrates in the deposition chamber. The fixturing determines how the parts are held and moved and the number of parts that can be processed in each cycle. The vacuum pumping system and deposition chamber size are also important in determining the process cycle time.

    In order to design an appropriate vacuum system for a PVD process, it is necessary to determine the additional pumping load that will be added during the processing cycle. This can only be determined after the fixturing design has been selected and the number of parts to be processed at one time has been determined. For example, the metallization of CDs with aluminum was originally done in a batch process where hundreds of molded discs were coated in one run in a large vacuum vessel with several hours’ cycle time. Now the CDs are coated one-at-a-time with a cycle time of less than three seconds. This was accomplished by integrating the molding equipment and the deposition equipment so that the discs are not exposed to the air between processes and outgassing problems are avoided.

    a A prominent R&D laboratory developed a solar-thermal absorbing coating which involved the CVD of a dendritic tungsten coating. The coating worked very well and was awarded an IR 100 award. The problem was that the process could not be economically scaled-up to the thousands of square meters per year required for commercialization of the product, so it has never been used commercially – only for very special applications.

    b In the mid-1960s, several steel manufacturers wanted to use physical vapor-deposited aluminum to replace hot dipped galvanizing for coating steel strips. The researchers in the laboratory took carefully prepared steel surfaces and showed that corrosion-resistant aluminum coatings could be deposited. Many millions of dollars were invested in plants to coat mill-roll steel. It was found that the coated mill-rolled steel developed pinhole corrosion in service and the cause was traced to inclusions rolled into the steel surface during fabrication. There was no good technique for cleaning the surface and the project failed with the loss of many millions of dollars. The problem was that the process development had been done on non-representative material with unrealistic substrate surface preparation techniques.

  • ��  Chapter �

    Often a concern in coating technology is repair and rework. Repair and rework may mean reprocessing small areas of coating. This is often difficult and the parts are often stripped and reprocessed. Repair and rework is often more difficult and expensive for PVD processing than for other coating techniques such as electroplating or painting.

    1.3 process Documentation

    The key to reproducible processing is documentation. Documentation is also important in the transfer of a process or product from research and development (R&D) to manufacturing (Appendix A), in improving the process over time, and to qualify for the ISO 9000 certifications. There have been many instances where the lack of proper documentation has resulted in the loss of product yield and even in the loss of the process itself. Documentation should cover the whole process flow. Often some stages of the processing, such as cleaning and film deposition, are well covered but some intermediate stages, such as handling and storage, are not. It is often helpful to generate a process flow diagram that covers the processing, handling, and storage from the as-received material through to the packaged product, as shown in Figure 1.3. Documentation associated with each stage can be indicated on the diagram.

    �.�.�  Process Specifications

    Process specifications (“specs”) are essentially the “recipe” for the process and are the goal of a focused R&D process or product development effort. Specifications define what is done, the critical process parameters, and the process parameter limits (“windows”) that will produce the desired product. The specification can also define the substrate material; materials to be used in the processing, handling, and storage conditions; packaging; process monitoring and control techniques; inspection, testing, and safety considerations; and any other aspect of the processing that is of importance. Specifications should be dated and there should be a procedure available that allows changes to the specifications. Reference should be made to the particular “issue” (date) of specifications. Specifications should be based on accurate measurements so it is important that calibrated instrumentation be used to establish the parameter limits for the process. Specifications usually do not necessarily specify specific equipment and non-critical process parameters. Specifications are also used to define the properties of the substrate surface, the functional and stability properties of the product, and associated test methods.

    Generation of the specifications entails a great deal of careful effort so as to not miss a critical detail and to allow as large a processing parameter window as is possible (i.e., a “robust” process). Factorial design of experiments is used to generate the maximum amount of information from the smallest number of experiments.[4] Writing specifications begins with the laboratory/engineering (L/E) notebooks from which the critical process parameters and

  • Introduction  ��

    c The manufacturer was having problems getting adhesion to molded parts. In the process review meeting I was assured that no mold release agent was being used. On the floor I observer the operator wiping the mold with an oily rag after taking the part out of the mold. “It makes it easier to remove the part,” said the operator. What is written down may not be what is being done!

    SPECIFICATIONS (MATERIALS, PROCESSING, INSPECTION, TESTING)

    MANUFACTURING PROCESS INSTRUCTIONS

    As-receivedmaterial

    Handling Handling

    Handlingstorage

    transport

    Storage Cleaning

    HandlingIn situ cleaningheating

    Pump-down

    RackingMounting

    Deposition

    Handlingstorage

    HandlingStorage

    Inspection Un-RackInspection

    testingLet-Up

    Travelersequipment logs

    Post depositionprocessing

    HandlingPackaging

    Subsequent processingcustomer

    Inspectiontesting

    Figure 1.3: physical Vapor Deposition (pVD) processing Flow Chart

    parameter windows are extracted. In many cases, as the specifications are being written it will be necessary to expand the development work to further define critical processes and their parameter windows. Sometimes critical details on the processing are not to be found in the L/E notebooks but are given by the person performing the work or noted by a trained observer who watches what is being done.c

    The Laboratory/Engineering (L/E) Notebook

    Documentation starts with the L/E notebook, where the experiments, trials and results of experiments, and development work are documented. Where the data is not amenable to direct entry, a summary of the findings can be entered into the L/E notebook and reference made to particular charts, graphs, memos, etc. To ensure unquestionable entries, the L/E

  • ��  Chapter �

    notebook should be hardbound, have numbered pages, and entries should be handwritten, dated, and initialed. If an entry is made about a patentable process, product, or idea, the entry should be read by another person, then initialed and dated with the statement “read and understood” by the entry. Patents are developed from L/E notebooks and dated entries will be important if questions are ever raised about when and where an idea was conceived or a finding made.d Some companies require two L/E notebooks, one for laboratory use, and one that is continuously updated and kept in a fireproof safe.

    �.�.�  Manufacturing Process Instructions (MPIs)

    Manufacturing process instructions are derived from the specifications as they are applied to specific equipment and manufacturing procedures. A series of MPIs should exist for the complete process flow. They are written by taking the relevant specifications and breaking them down into tasks and subtasks (e.g. cleaning – UV/ozone) for the operator to follow and can change as the manufacturing maturity develops. Often the MPIs contain information that is not found in the specifications but is important to the reproducibility of the manufacturing flow. This may be something such as the type and source of gloves to be used with specific chemicals (e.g. no vinyl gloves around isopropyl alcohol (IPA), rubber gloves for acids).

    The MPIs should be dated and updated in a controlled manner. The MPIs should also include the appropriate materials safety data sheets (MSDSs) for the materials being used. In many cases the MPIs should be reviewed with the R&D staff that have been involved in writing the specifications to ensure that mistakes are not made. The R&D staff should be included in process review meetings for the same reason (see Appendix A). In some cases, MPIs and specifications must be written from an existing process. Care must be taken that the operators reveal all of the important steps and parameters to the person documenting the process.

    �.�.�  Travelers

    In some cases the substrates and product may be in a common group or “lot” which can be identified. In this case it may be desirable to have a “traveler” (or “run-card”) that accompanies the group of substrates through the processing flow and contains information on which specifications and MPIs were used and the observations made by the operators. The traveler can include the process sheet that details the process parameters used for each deposition (“run”). The travelers can then become the archival records for that particular group of product. It may be desirable to retain archival samples of the product with appropriate documentation. This procedure will assist in failure analysis if there is a problem

    d This is called the “first to conceive” type of patent which was the rule in the US until 2007 when Congress tried to change the patent law to a “first to file” system, which is used in most of the rest of the world. As of this writing the change in the law is under litigation.

  • Introduction  ��

    with the product either during subsequent processing or in service. These samples can be prepared periodically or when there have been significant changes in the process(es) being used. The travelers should be “human engineered” so that the operator has to pay attention to the process and not just push a button.e

    �.�.�  Equipment and Calibration Logs

    In manufacturing, it is important to keep equipment logs for the equipment and instrumentation being used. These logs contain information as to when and how long the equipment has been used, its performance, any modifications that have been made, and any maintenance and service that has been performed. For example, for a vacuum deposition system, the log should include entries on performance such as:

    • Date and operator’s name

    • Time to crossover pressure (roughing to high vacuum pumping)

    • Time to the specified base pressure

    • Leakup rate between specified pressure levels

    • Process being performed

    • Chamber pressure during processing

    • Fixturing used

    • Number and type of substrates being processed

    • Mass spectrometer trace at base pressure and during processing (optional)

    • Total run time

    The equipment logs can be used to establish routine maintenance schedules and determine the cost of ownership (COO) of a particular piece of equipment. When the equipment is being

    e The blown fuse. In production, a high voltage component was coated with a conformal organic coating and then potted in an organic encapsulant. To ensure good adhesion and high voltage breakdown strength between the coating and the encapsulant, the polymer coating was plasma-treated. The time between encapsulation and high voltage testing was three months. After high voltage breakdown failures were noted, the process was examined to determine what had caused the problem. When interviewing the operator of the plasma treatment machine, it was stated by the operator that her job was to put the parts in the plasma treatment machine, push the button, wait for the timer to go off, and then take them out. Several months prior to the discovery of the problem, the operator had observed that a meter had stopped giving a reading, but the observation had not been mentioned to anyone. Further investigation discovered that a fuse had blown and the plasma had never come on in the machine. Three months of production had to be scrapped. Note that the operator was performing as instructed and nothing else – a good operator with inadequate training.

  • �0  Chapter �

    repaired or serviced it is important to log the date, action, and person doing the work. The equipment log should also contain the calibration log(s) for associated instrumentation.

    �.�.�  Commercial/Military Standards and Specifications (Mil Specs)

    Standards are accepted specifications that are issued by various organizations after extensive trials and evaluations. “Recommended practices” are issued where the “practices” have not been as rigorously tested and reviewed as the standards, but they are generally used in the same manner as standards. Standards or specifications may be included in specifications by name (e.g. “as per Mil Spec xx”), giving specs within specs. Some of the organizations that develop industrial specifications and standards related to the vacuum and vacuum coating industry are:

    • US Military – Military Standards and Specifications (Mil Specs) – available from Document Center

    • ASTM – American Society for Testing and Materials

    • SEMI – Semiconductor Equipment and Materials International

    • ANSI – American National Standards Institute

    • NIST – National Institute of Standards and Technology (previously National Bureau of Standards – NBS)

    • ISO – International Standards Organization/Technical Committee 112 for Vacuum Technology – available through ANSI (refer to ASTM Committee E42.94 – the ANSI Technical Advisory Group to ISO)

    • IES – Institute of Environmental Sciences

    Catalogs and copies of their specifications and standards are available from the various organizations.

    Copies of patents are available from the US Patent Office and commercial search firms. Many government publications and publications on government-sponsored work are available from the National Technical Information Service (NTIS) and the Defense Technical Information Center (DTIC).

    1.4 Safety and environmental Concerns

    Safety and environmental concerns are areas where there is a great deal of difference between the development and manufacturing environments. This may be due to the types or amounts of materials used. For example, in the laboratory, a common drying agent is anhydrous alcohol,

  • Introduction  ��

    which can be used safely in a well-ventilated open area by careful people. However, in manufacturing, fire regulations do not allow alcohol to be used in the open environment because of its low flash point and large volume. Instead, the alcohol vapor must be contained and condensed or some other drying technique must be used.

    By US law, every worker must be informed about the potential dangers of the chemicals that they encounter in the workplace (OSHA – Hazard Communication Standard 29 CFR 1910.1200). This includes common chemicals, such as household dishwasher soaps. It is the responsibility of managers to keep workers informed about the chemicals being used and their potential hazards. Chemical manufacturers must provide users with MSDSs on all their chemicals. These MSDSs must be made available to all workers. There are MSDSs on all kinds of chemical, ranging from the toner used in copiers, to common household detergents, to really hazardous chemicals such as hydrofluoric acid (HF). Information on environmental aspects of processing can be obtained from the Center for Environmental Research Information.

    1.5 Units

    Throughout this book, units are mixed, including SI (Système International d’Unités), cgs, and “customary” units. This is unconventional, but individuals must deal with people who know nothing about some of the units used by scientists and engineers. Most individuals have to work and learn in several systems of units. For example, in Europe most vacuum gauges are calibrated in millibars (mbars) while in the United States they are often calibrated in mTorr. Equipment bought from Europe will have mbar calibration. When discussing a process, make sure you know what units are being used. If temperatures are given in degrees Fahrenheit (°F) and you think they are in degrees centigrade (°C), some serious consequences may occur.

    The international SI system of units is based on:[5]

    The cgs system uses the centimeter, gram, and second as its units. The US has not adopted the SI system as its primary system of measurement but uses “customary units” such as the foot, inch, pound, etc.

    length meter mmass kilogram kgtime second selectric current ampere Athermodynamic temperature kelvin Kamount of substance mole molluminosity intensity candela cd

  • ��  Chapter �

    �.�.�  Temperature Scales

    The centigrade (Celsius) temperature scale (°C) is based on water freezing at 0°C and boiling at 100°C at standard atmospheric pressure (760 Torr). The Fahrenheit temperature scale (°F) is based on water freezing at 32°F and boiling at 212°F at standard atmospheric pressure.

    In the SI system the Kelvin temperature scale (K) is based on zero being the temperature at which all molecular motion ceases and there is no thermal energy present. The Kelvin temperature scale uses 100 K as the temperature difference between the freezing and boiling points of water under standard pressure conditions. Zero degrees Kelvin (0 K) is equivalent to 273.16°C or 459.69°F.

    Note on conversion: Degrees K (°C 273.16); °F [(9/5 °C) 32].

    �.�.�  Energy Units

    Throughout the book the energy of particles will be given in temperature or in electron volts (eV). An electron volt is the energy acquired by a singly charged particle accelerated through a one-volt electrical potential. The energy is related to the temperature by the Boltzmann equation given by E 3/2 kT where k is the Boltzmann constant and T is the Kelvin temperature. One eV is equivalent to about 11 300°C. In chemical terms, 1 eV per atom is equivalent to 23 kilocalories per mole.

    �.�.�  Prefixes

    Some prefixes adopted by the SI committee are:

    Factor prefix Symbol Factor prefix Symbol

    1012 tera T 101 deci d109 giga G 102 centi c106 mega M 103 milli m103 kilo k 106 micro 102 hecto h 109 nano n101 deka da 1012 pico p

    �.�.�  The Greek Alphabet

    Greek letters are often used in the text; they are as follows (upper case and lower case):

    A () alpha

    B () beta

    () gamma

    () delta

  • Introduction  ��

    () epsilon

    Z () zeta

    H () eta

    () theta

    I () iota

    K () kappa

    Λ () lambda

    M () mu

    N () nu

    () xi

    O (ο) omicron

    () pi

    P () rho

    () sigma

    T () tau

    Y () upsilon

    (ϕ) phi

    X () chi

    () psi

    () omega

    1.6 Summary

    Physical vapor deposition processes constitute only one set of processes available for surface engineering. In order to make the best choice for obtaining the surface properties desired, all of the possible techniques sho