medici f-2011.09 manual

1219
Taurus Medici Medici User Guide Version F-2011.09, September 2011

Upload: sy831

Post on 11-Apr-2015

191 views

Category:

Documents


7 download

DESCRIPTION

Manual for MEDICI

TRANSCRIPT

Taurus Medici Medici User GuideVersion F-2011.09, September 2011

Copyright Notice and Proprietary InformationCopyright 2011 Synopsys, Inc. All rights reserved. This software and documentation contain confidential and proprietary information that is the property of Synopsys, Inc. The software and documentation are furnished under a license agreement and may be used or copied only in accordance with the terms of the license agreement. No part of the software and documentation may be reproduced, transmitted, or translated, in any form or by any means, electronic, mechanical, manual, optical, or otherwise, without prior written permission of Synopsys, Inc., or as expressly provided by the license agreement.

Right to Copy DocumentationThe license agreement with Synopsys permits licensee to make copies of the documentation for its internal use only. Each copy shall include all copyrights, trademarks, service marks, and proprietary rights notices, if any. Licensee must assign sequential numbers to all copies. These copies shall contain the following legend on the cover page: This document is duplicated with the permission of Synopsys, Inc., for the exclusive use of __________________________________________ and its employees. This is copy number __________.

Destination Control StatementAll technical data contained in this publication is subject to the export control laws of the United States of America. Disclosure to nationals of other countries contrary to United States law is prohibited. It is the readers responsibility to determine the applicable regulations and to comply with them.

DisclaimerSYNOPSYS, INC., AND ITS LICENSORS MAKE NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH REGARD TO THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.

Registered Trademarks ()Synopsys, AEON, AMPS, Astro, Behavior Extracting Synthesis Technology, Cadabra, CATS, Certify, CHIPit, CoMET, Confirma, CODE V, Design Compiler, DesignSphere, DesignWare, Eclypse, EMBED-IT!, Formality, Galaxy Custom Designer, Global Synthesis, HAPS, HapsTrak, HDL Analyst, HSIM, HSPICE, Identify, Leda, LightTools, MAST, METeor, ModelTools, NanoSim, NOVeA, OpenVera, ORA, PathMill, Physical Compiler, PrimeTime, SCOPE, Simply Better Results, SiVL, SNUG, SolvNet, Sonic Focus, STAR Memory System, Syndicated, Synplicity, the Synplicity logo, Synplify, Synplify Pro, Synthesis Constraints Optimization Environment, TetraMAX, UMRBus, VCS, Vera, and YIELDirector are registered trademarks of Synopsys, Inc.

Trademarks ()AFGen, Apollo, ARC, ASAP, Astro-Rail, Astro-Xtalk, Aurora, AvanWaves, BEST, Columbia, Columbia-CE, Cosmos, CosmosLE, CosmosScope, CRITIC, CustomExplorer, CustomSim, DC Expert, DC Professional, DC Ultra, Design Analyzer, Design Vision, DesignerHDL, DesignPower, DFTMAX, Direct Silicon Access, Discovery, Encore, EPIC, Galaxy, HANEX, HDL Compiler, Hercules, Hierarchical Optimization Technology, High-performance ASIC Prototyping System, plus HSIM , i-Virtual Stepper, IICE, in-Sync, iN-Tandem, Intelli, Jupiter, Jupiter-DP, JupiterXT, JupiterXT-ASIC, Liberty, Libra-Passport, Library Compiler, Macro-PLUS, Magellan, Mars, Mars-Rail, Mars-Xtalk, Milkyway, ModelSource, Module Compiler, MultiPoint, ORAengineering, Physical Analyst, Planet, Planet-PL, Polaris, Power Compiler, Raphael, RippledMixer, Saturn, Scirocco, Scirocco-i, SiWare, Star-RCXT, Star-SimXT, StarRC, System Compiler, System Designer, Taurus, TotalRecall, TSUPREM-4, VCSi, VHDL Compiler, VMC, and Worksheet Buffer are trademarks of Synopsys, Inc. sm

Service Marks ( )

MAP-in, SVP Caf, and TAP-in are service marks of Synopsys, Inc. SystemC is a trademark of the Open SystemC Initiative and is used under license. ARM and AMBA are registered trademarks of ARM Limited. Saber is a registered trademark of SabreMark Limited Partnership and is used under license. All other product or company names may be trademarks of their respective owners.

ii

Table of ContentsIntroduction to Medici xliImportant Notice . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xli Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xli Analyzing Devices and Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xli Submicron Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xlii Medici Grid. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xlii Physical Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xlii Additional Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xlii Advanced Application Modules. . . . . . . . . . . . . . . . . . . . . . . . . . . . . xliii Backward Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xliii Guide Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xliii Advanced Application Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xlvi Typeface Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xlvii Related Publications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xlvii Reference Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xlvii Problems and Troubleshooting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xlvii

Using MediciIntroduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Program Execution and Output. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Execution Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Execution on IBM SP2 Systems with More than One Processor. . Syntax Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Execution Errors and Warnings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Program Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Printed Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Graphical Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Viewing Graphical Output in Taurus-Visual . . . . . . . . . . . . . . . . . File Specifications. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .MD 2007.12

1-11-1 1-1 1-1 1-2 1-2 1-3 1-3 1-3 1-4 1-4 1-5iii

Table of Contents

Medici User Guide

Output File Identifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-5 Command Input File Identifier and . . . . . . . . . . . 1-5 Initially Assigned Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-6 Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-7 Library Directory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-7 Input Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-8 Command Input File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-8 Statement Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-8 2D Process Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-8 1D Process Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-9 Output Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-9 Standard Output File.out . . . . . . . . . . . . . . . . . . . . . . . . . . 1-9 Informational Output File.inf . . . . . . . . . . . . . . . . . . . . . . . 1-9 Diagnostic Output File.dia . . . . . . . . . . . . . . . . . . . . . . . . 1-10 Graphical Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-10 Formatted Plot Output Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-10 Binary Plot Output Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-11 Mesh Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-11 Profile Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-11 Boundary Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-11 Solution Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-11 TIF Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-12 TIF File Compression. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-12 Saved Solution Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-12 Log Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-13 Execution Time Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-13 Miscellaneous Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-14 Path Filemdpath . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-14 Plot Device Definition Filemdpdev . . . . . . . . . . . . . . . . . . . . . . . 1-14 Authorization Filemdauth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-14 Formatted Key Filemdfky0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-15 Unformatted Key Filemduky0 . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-15 Error Message Filemderr0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-15 Circuit Analysis Formatted Key Filemdfky1 . . . . . . . . . . . . . . . 1-16 Coefficient Filemdcof1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-16 Taurus Compatibility Coefficient Filemdcof1_taurus_compat . . 1-17 Temporary Scratch Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-17 .prs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-17 .ttn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-18 .cont . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-18 mdcof1.prs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-18

iv

MD 2007.12

Medici User Guide

Table of Contents

Medici Description

2-1

Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1 Physical Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2 Basic Equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2 Poissons Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2 Continuity Equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2 Boltzmann Transport Theory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2 SRH, Auger, and Direct Recombination . . . . . . . . . . . . . . . . . . . . . . 2-3 Surface Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4 Concentration-Dependent Lifetimes . . . . . . . . . . . . . . . . . . . . . . . . . 2-4 Lattice Temperature-Dependent Lifetimes . . . . . . . . . . . . . . . . . . . . 2-5 Recombination Including Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . 2-5 Schottky Barrier Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-8 Tunneling Path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-8 Tunneling Barrier Discretization . . . . . . . . . . . . . . . . . . . . . . . . . . 2-9 Generation Rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-9 Boltzmann Statistics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-10 Bandgap and Effective Density of States. . . . . . . . . . . . . . . . . . . . . 2-11 Bandgap Narrowing due to Heavy Doping . . . . . . . . . . . . . . . . . . . 2-11 Stress-Induced Bandgap Change . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13 STRESS2 Bandgap Change Model . . . . . . . . . . . . . . . . . . . . . . . 2-13 STRESS Bandgap Change Model . . . . . . . . . . . . . . . . . . . . . . . . 2-16 Fermi-Dirac Statistics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16 Incomplete Ionization of Impurities. . . . . . . . . . . . . . . . . . . . . . . . . 2-18 Doping and Temperature Dependent Impurity Activation Energies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18 High Doping Transition to Complete Ionization . . . . . . . . . . . . . 2-19 Low Temperature Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19 Quantum Mechanical Effects in MOSFET Inversion Layers . . . . . 2-20 Modified Local Density Approximation Quantum Model . . . . . . . 2-22 QBOX. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 Mobility Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 Low Field Mobility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 Constant Mobility. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25 Concentration Dependent Mobility . . . . . . . . . . . . . . . . . . . . . . . 2-25 Analytic Mobility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 Arora Mobility Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-28 Carrier-Carrier Scattering Mobility . . . . . . . . . . . . . . . . . . . . . . . 2-29 Philips Unified Mobility. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-30 Surface Scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-32 Surface Mobility Degradation Factors . . . . . . . . . . . . . . . . . . . . . 2-32 Surface Mobility Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-32 Enhanced Surface Mobility Model. . . . . . . . . . . . . . . . . . . . . . . . 2-33 Universal Mobility Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-34MD 2007.12 v

Table of Contents

Medici User Guide

Perpendicular Electric Field Mobility Model. . . . . . . . . . . . . . . . Lombardi Surface Mobility Model. . . . . . . . . . . . . . . . . . . . . . . . Generalized Mobility Curve Mobility Model. . . . . . . . . . . . . . . . Shirahata Mobility Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Transverse Field- Dependent Mobility Model . . . . . . . . . . . . . . . High-k Mobility Degradation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Mobility Stress Factors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tensor Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Piezoresistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Doping Factor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Intel Stress-Induced Hole Mobility Model. . . . . . . . . . . . . . . . . . Limits on Mobility Enhancement Factors . . . . . . . . . . . . . . . . . . Valley Occupancy Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . High Field Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Parallel Field Mobility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Hewlett-Packard Mobility Model. . . . . . . . . . . . . . . . . . . . . . . . . Lucent Mobility Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Inversion and Accumulation Layer Mobility Model . . . . . . . . . . Electric Field Calculations for Mobility Models . . . . . . . . . . . . . . . Effective Electric Fields at Interfaces. . . . . . . . . . . . . . . . . . . . . . Electric Field Components. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Parallel Field Option. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Transverse Field Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Mobility Model Choices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Comparison of Mobility Models . . . . . . . . . . . . . . . . . . . . . . . . . . . GSURFN Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Transverse Field Mobility Results . . . . . . . . . . . . . . . . . . . . . . . . EJ.MOBIL Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Comparison of CPU Time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . EJ.MOBIL and Subsurface Current Flow . . . . . . . . . . . . . . . . . . Electron-Hole Scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Ohmic Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Schottky Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Imposing Finite Surface Recombination Velocities. . . . . . . . . . . Barrier Lowering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Contacts to Insulators. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Neumann Boundaries. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Interface Charge and Traps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Lumped Resistance, Capacitance, and Inductance Elements . . . . . Lumped Element Boundary Conditions . . . . . . . . . . . . . . . . . . . . Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Current Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Distributed Contact Resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . Majority Carrier Contact . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Numerical Methods. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .vi

2-35 2-36 2-37 2-39 2-39 2-43 2-45 2-45 2-46 2-47 2-48 2-51 2-51 2-53 2-53 2-56 2-56 2-60 2-64 2-64 2-65 2-67 2-67 2-68 2-69 2-70 2-70 2-70 2-71 2-71 2-72 2-73 2-73 2-74 2-74 2-75 2-76 2-76 2-77 2-78 2-78 2-79 2-79 2-80 2-80 2-82 2-82

MD 2007.12

Medici User Guide

Table of Contents

Relevant Statements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-82 Selecting Equations to Solve . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-83 Discretization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-83 Box Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-83 Carrier Flux Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-83 Nonlinear System Solutions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-84 Common Concepts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-84 Initial Guesses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-86 Quasi-Fermi Level Adjustments. . . . . . . . . . . . . . . . . . . . . . . . . . 2-87 Coupled Solutions (Newtons Method) . . . . . . . . . . . . . . . . . . . . . . 2-87 Jacobian Matrix in Newton . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-88 Accelerating the Newton Iteration . . . . . . . . . . . . . . . . . . . . . . . . 2-88 When to Choose Full Newton . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-89 Newton Damping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-89 Decoupled Solutions (Gummels Method) . . . . . . . . . . . . . . . . . . . 2-89 When to Use Gummel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90 Accelerating Gummel. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90 Using ICCG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90 ICCG Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90 Poisson Damping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90 Continuation Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-91 Continuation Steps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-91 Parameter C.DVMAX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-92 Linear Matrix Solution. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-93 Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-93 Jacobian Matrix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-93 Direct Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-95 Incomplete Cholesky Decomposition. . . . . . . . . . . . . . . . . . . . . . 2-95 ILUCGS Solver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-97 GMRES Solver. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-99 Grid in Medici . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-100 Grid Allocation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-100 Coordinate Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-100 Cartesian Coordinates. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-101 Cylindrical Coordinates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-101 Maximum Number of Nodes and Memory Use. . . . . . . . . . . . . . . 2-101 Memory Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-102 Grid Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-102 Grid Refining Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-102 Initial Grid Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-102 Rectangular Mesh Specification . . . . . . . . . . . . . . . . . . . . . . . . . . 2-102 Mesh Statements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-103 Initial Mesh Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-104 Grid Spacings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-104 Horizontal Spacing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-104 Vertical Spacing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-104 Mesh Spacing Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-104MD 2007.12 vii

Table of Contents

Medici User Guide

Specifying Mesh Within a Section. . . . . . . . . . . . . . . . . . . . . . . . . Uniform Mesh Spacing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Monotonically Increasing or Decreasing Mesh Spacing . . . . . . Increasing or Decreasing Mesh Section Spacing . . . . . . . . . . . . TCAD Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Regrid. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Regrid Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Limiting the Refinement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Interpolation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Reading Doping Information . . . . . . . . . . . . . . . . . . . . . . . . . . . Refinement Criterion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Mesh Smoothing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Node Smoothing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Element Smoothing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Undesirable Effects of Obtuse Elements . . . . . . . . . . . . . . . . . . Transient Device Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BDF1 Formula . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BDF2 Formula . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Time Steps Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Dynamic Error Tolerance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Time Step Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Dynamic Error Tolerance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Time Step Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . User Specifications. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Impulse Response. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AC Small-Signal Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Basic Concepts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Sinusoidal Steady-State Analysis. . . . . . . . . . . . . . . . . . . . . . . . . . Successive Over-Relaxation Method (SOR) . . . . . . . . . . . . . . . . . High Frequency Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AC Analysis Beyond the Basic Equations. . . . . . . . . . . . . . . . . . . Impact Ionization Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Post-Processing Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Self-Consistent Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Electron-Hole Generation Rate . . . . . . . . . . . . . . . . . . . . . . . . . . . Driving Field for Impact Ionization . . . . . . . . . . . . . . . . . . . . . . . . Node-Based Impact Ionization. . . . . . . . . . . . . . . . . . . . . . . . . . . . Nonlocal Impact Ionization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Examining Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Gate Current Analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Lucky-Electron Gate Current Model . . . . . . . . . . . . . . . . . . . . . . . Probability Factors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Gate Current Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Angle-Dependent Gate Current Model . . . . . . . . . . . . . . . . . . . . . Insulator Probability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .viii

2-105 2-105 2-106 2-106 2-107 2-107 2-107 2-108 2-108 2-109 2-109 2-110 2-110 2-110 2-112 2-112 2-112 2-113 2-114 2-114 2-114 2-114 2-114 2-115 2-115 2-115 2-115 2-116 2-118 2-118 2-119 2-119 2-119 2-120 2-120 2-120 2-121 2-122 2-123 2-123 2-125 2-125 2-126 2-126 2-128 2-128 2-129

MD 2007.12

Medici User Guide

Table of Contents

Electron Scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Surface Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Non-Maxwellian Hot Carrier Generation Option . . . . . . . . . . . . . Carrier Temperature Dependent Gate Current Models . . . . . . . . . Electron Probability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Conversion to Local Electric Field Model . . . . . . . . . . . . . . . . . Direct Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Parameter Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Relationship to Fowler-Nordheim Tunneling . . . . . . . . . . . . . . . . Direct Tunneling Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Direct Tunneling Method 1: Analytical Evaluation . . . . . . . . . . Direct Tunneling Method 2: Numerical Integration of WKB Tunneling Coefficient . . . . . . . . . . . . . . . . . . . . . . . . . . . Direct Tunneling Method 3: Numerical Integration of Gundlach Tunneling Coefficient . . . . . . . . . . . . . . . . . . . . . . . . Direct Tunneling Method 4: Numerical Integration of AiryTMT Tunneling Coefficient . . . . . . . . . . . . . . . . . . . . . . . . AC Small-Signal Analysis of Direct Tunneling . . . . . . . . . . . . . . Ionization Integrals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Calculations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Specification. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Electric Field Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Band-to-Band Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tunneling Factor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Energy Balance Equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Implemented Differential Equations . . . . . . . . . . . . . . . . . . . . . . . Compound Semiconductor EB Model . . . . . . . . . . . . . . . . . . . . Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Physical Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Carrier Temperature-Based Mobility . . . . . . . . . . . . . . . . . . . . . Energy Relaxation Times . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Impact Ionization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thermally Enhanced Diffusion Current . . . . . . . . . . . . . . . . . . . Energy Balance Post-Processing Analysis . . . . . . . . . . . . . . . . . Plotting Simulation Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Decoupled Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Coupled Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Regional Specification of Semiconductor Parameters . . . . . . . . . . . . Programmable Device Advanced Application Module . . . . . . . . . . . Charge Boundary Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fowler-Nordheim Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tunneling Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Hot Carrier Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Specifying Structure (Electrodes and Charges) . . . . . . . . . . . . . . . Graphical Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Circuit Analysis Advanced Application Module . . . . . . . . . . . . . . . . Numerical Method Used in the CA-AAM. . . . . . . . . . . . . . . . . . .MD 2007.12

2-130 2-130 2-131 2-131 2-132 2-132 2-132 2-134 2-135 2-135 2-136 2-137 2-138 2-139 2-140 2-140 2-141 2-141 2-142 2-142 2-144 2-145 2-145 2-147 2-148 2-148 2-149 2-150 2-151 2-152 2-152 2-153 2-153 2-154 2-155 2-155 2-156 2-156 2-157 2-157 2-158 2-158 2-159 2-159ix

Table of Contents

Medici User Guide

Construction of Circuit and Device Equations. . . . . . . . . . . . . . Multiple Device Matrix Description . . . . . . . . . . . . . . . . . . . . . Lattice Temperature Advanced Application Module . . . . . . . . . . . . . Heat Equation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Heat Flow Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Overriding Default Modules. . . . . . . . . . . . . . . . . . . . . . . . . . . . Electric Field Terms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Poissons Equation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Current Density Equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Numeric Methods. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Parameters and Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Decoupled Block Iterative Method . . . . . . . . . . . . . . . . . . . . . . Optimizing Convergence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thermal Electrodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thermal Lumped Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Physical Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Heterojunction Device Advanced Application Module . . . . . . . . . . . Material Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Band Diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Device Equations for Heterojunctions . . . . . . . . . . . . . . . . . . . . . . Models for Compound Materials . . . . . . . . . . . . . . . . . . . . . . . . . . Permittivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Density of States. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Bandgap-Related Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Simple Bandgap and Electron Affinity . . . . . . . . . . . . . . . . . . . Lattice Temperature-Dependent Bandgap . . . . . . . . . . . . . . . . . Strained SiGe Bandgap. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Unstrained SiGe Bandgap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Three-Valley and Two-Band Band-Related Models . . . . . . . . . Mobility Models for Compound Materials . . . . . . . . . . . . . . . . . . Low-Field Mobility Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . High-Field Mobility Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . Virtual Nodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Poisson Equation and Band Alignment . . . . . . . . . . . . . . . . . . . Heterojunction Currents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thermionic Emission Current Model. . . . . . . . . . . . . . . . . . . . . Tunneling Current Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Trapped Charge Advanced Application Module . . . . . . . . . . . . . . . . Analysis with Trapped Charge. . . . . . . . . . . . . . . . . . . . . . . . . . . . Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Modeling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Optical Device Advanced Application Module . . . . . . . . . . . . . . . . . Transmittance and Reflectance Calculation. . . . . . . . . . . . . . . . . . Simple Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Transmission Through Planar Optical Layers . . . . . . . . . . . . . . Photogeneration Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .x

2-159 2-160 2-161 2-162 2-162 2-162 2-163 2-164 2-164 2-165 2-165 2-165 2-166 2-166 2-166 2-167 2-167 2-168 2-169 2-169 2-169 2-170 2-170 2-171 2-171 2-171 2-172 2-172 2-172 2-173 2-177 2-177 2-179 2-180 2-181 2-181 2-182 2-183 2-183 2-184 2-184 2-184 2-186 2-187 2-187 2-188 2-190

MD 2007.12

Medici User Guide

Table of Contents

Absorption Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wavelength Dependent Empirical Tables . . . . . . . . . . . . . . . . . Absorption Mechanisms. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fundamental Absorption (Band-to-Band Transitions). . . . . . . . Band-Tail Absorption. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Free Carrier Absorption . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Optical Energy Gap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Anisotropic Material Advanced Application Module . . . . . . . . . . . . Permittivity Tensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Additional Capabilities. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thermal Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Advanced Band Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Notes on Usage. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Symbol Definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2-191 2-192 2-192 2-192 2-194 2-194 2-195 2-195 2-196 2-196 2-197 2-198 2-198 2-199 2-211

Input Statement DescriptionsIntroduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Input Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Input Limits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Syntax. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Appending . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Statements with Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Statements without Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Logical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . True or False Value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Character and Numerical Value . . . . . . . . . . . . . . . . . . . . . . . . . . . Numerical. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Array . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Character . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Value. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Length. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Numerical Expressions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Components and Use . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Numerical Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Logical Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Assigned Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Character Expressions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Delimiters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Arithmetic Operators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Relational Operators. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .MD 2007.12

3-13-1 3-1 3-1 3-2 3-2 3-2 3-2 3-3 3-3 3-3 3-3 3-3 3-3 3-4 3-4 3-4 3-4 3-4 3-5 3-5 3-5 3-5 3-5 3-5 3-6 3-6 3-6xi

Table of Contents

Medici User Guide

Logical Operators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6 Numerical Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6 Logical Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7 Conversion Functions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7 Component Precedence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8 Examples of Numerical Expressions . . . . . . . . . . . . . . . . . . . . . . . . . 3-8 Character Expressions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9 Syntax. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9 Length . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9 Character Expression Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9 Statement Description Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10 Parameter Definition Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10 Parameter Type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10 Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10 Syntax of Parameter Lists . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-11 Value Types < > . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-11 Defining Groups { }, [ ], ( ), | . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12 Optional Groups [ ]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12 List of Groups {}, | . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12 Group Hierarchy ( ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12 Device Structure Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-13 Rectangular Mesh Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14 Mesh Statements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14 MESH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-22 Generating an Initial Mesh. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-22 Cartesian and Cylindrical Coordinates. . . . . . . . . . . . . . . . . . . . . 3-22 Statement Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-22 Mesh Smoothing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-23 Periodic Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24 Automatic Boundary Conforming Mesh Generation. . . . . . . . . . . . 3-24 Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24 New Automatic Boundary Conforming Mesh Generator . . . . . . . . 3-25 Spacing Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-25 Gridding Region Boundaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-25 Gridding Region Interiors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-26 Automatic Refinement Near Corners . . . . . . . . . . . . . . . . . . . . . . 3-26 Junctions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-27 Electrodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-27 ABC Meshing Tips. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-27 Old Automatic Boundary Conforming Mesh Generator . . . . . . . . . 3-27 Lateral and Normal Mesh Spacings . . . . . . . . . . . . . . . . . . . . . . . 3-27 Unstructured Delaunay Mesh . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-28 Selecting Aspect Ratios . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-28 Automatic Failure Handling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-28 Obtuse Elements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-28 Junction Conforming Mesh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-29xii MD 2007.12

Medici User Guide

Table of Contents

Terminal Handling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Large Geometry Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Quadtree Mesh Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Boundary Fidelity. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Immediate Regridding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Preserving Thin Regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Quadtree Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Previously Generated Meshes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Restrictions and Limitations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . Other Programs and TIF. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Smoothing Region Shape. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . X.MESH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Node Placement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . NODE, LOCATION, and RATIO . . . . . . . . . . . . . . . . . . . . . . . . LOCATION and SPACING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . WIDTH, N.SPACES, H1, H2, H3, and RATIO. . . . . . . . . . . . . . Y.MESH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Node Placement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . NODE, LOCATION, and RATIO . . . . . . . . . . . . . . . . . . . . . . . . LOCATION and SPACING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . DEPTH, N.SPACES, H1, H2, H3, and RATIO . . . . . . . . . . . . . . ABC.MESH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spacing Boxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Gridding Region Boundaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Alignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Gridding Region Interiors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Automatic MOSFET Meshing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ELIMINATE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Restrictions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SPREAD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BOUNDARY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .MD 2007.12

3-29 3-29 3-29 3-29 3-30 3-30 3-30 3-31 3-31 3-31 3-32 3-32 3-34 3-34 3-35 3-35 3-35 3-35 3-36 3-37 3-38 3-38 3-38 3-38 3-38 3-39 3-40 3-44 3-44 3-44 3-46 3-47 3-48 3-49 3-50 3-52 3-53 3-53 3-53 3-54 3-55 3-55 3-55 3-57 3-58 3-59xiii

TSUPREM-4. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-32

Table of Contents

Medici User Guide

Input and Boundaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Statement Ordering. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . File I/O . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Termination, Overlap, and Intersect Examples . . . . . . . . . . . . . . . . Termination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Overlap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Intersect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Synopsys TCAD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Process Simulator Data Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . Transformation and Truncating . . . . . . . . . . . . . . . . . . . . . . . . . . . . Boundary Analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Kinks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Boundary Matching (Intersections) . . . . . . . . . . . . . . . . . . . . . . . Aspect-Ratio-Based Subdivision . . . . . . . . . . . . . . . . . . . . . . . . . Troubleshooting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Unsuccessful Termination, Subdivision Error . . . . . . . . . . . . . . . Unassigned Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Overwriting Region . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Gridlines Removed. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TSUPREM-4 LOCOS Process . . . . . . . . . . . . . . . . . . . . . . . . . . . TSUPREM4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REGION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Material Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Boundaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Bounding Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Polygon. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Rotation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Point Within an Existing Region . . . . . . . . . . . . . . . . . . . . . . . . . Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ELECTRODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Boundaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Bounding Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Polygon. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Rotation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Region Name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Point Within a Region Specification . . . . . . . . . . . . . . . . . . . . . . Electrode Nodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Adding Electrodes to Existing Structures . . . . . . . . . . . . . . . . . . . .xiv

3-59 3-59 3-59 3-59 3-60 3-60 3-60 3-61 3-61 3-61 3-62 3-62 3-62 3-62 3-64 3-64 3-64 3-64 3-65 3-65 3-66 3-66 3-66 3-69 3-70 3-70 3-72 3-75 3-76 3-76 3-76 3-76 3-76 3-76 3-77 3-77 3-78 3-80 3-80 3-80 3-80 3-81 3-81 3-81 3-81 3-82 3-82

MD 2007.12

Medici User Guide

Table of Contents

RENAME . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-83 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-83 See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-83 Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-83 PROFILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-84 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-90 See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-90 Profile Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-90 Specifying Individual Impurity Profiles. . . . . . . . . . . . . . . . . . . . 3-90 Specifying Profiles for Other Quantities . . . . . . . . . . . . . . . . . . . 3-91 Analytic Profiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-91 Vertical Variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-91 Lateral Direction Variation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-92 Junction Depth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-92 Impurity Dose. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-93 Polygonal Profiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-93 Polygonal Profiles from Taurus Layout Mask Files. . . . . . . . . . . . 3-93 Profile Rotation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-93 1D Profiles from Data Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-94 Vertical Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-94 Lateral Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-94 Rotational Profile Extension. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-94 Choosing Profiles to Input . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-94 2D Profiles From Data Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-95 Vertical and Lateral Ranges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-95 Choosing Profiles to Input . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-96 REGRID . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-97 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-101 See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-101 Grid Refinement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-101 Refinement Levels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-101 Using the FACTOR Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-102 Other Notes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-102 Quadtree Mesh Refinement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-102 Mesh Spacing and Refinement Criteria . . . . . . . . . . . . . . . . . . . 3-103 Controlling Mesh Quality. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-104 Multiple Regrids. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-104 Disabling Quadtree Refinement . . . . . . . . . . . . . . . . . . . . . . . . . 3-104 See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-104 STITCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-105 STITCH Statement Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-106 Solution Specification. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-109 MODELS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-110 See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-118 Impact Ionization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-118 Driving Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-118 Carrier Temperature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-118MD 2007.12 xv

Table of Contents

Medici User Guide

Fowler-Nordheim Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Direct Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Quantum Mechanical Effects in MOSFET Inversion Layers . . . . Stress-Induced Effects in Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . Models in Solution Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PHOTOGEN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Photogeneration Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Time-Dependent Term . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Pulse Light Type Source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Radial Dependence. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Length Dependence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spatially Dependent Terms . . . . . . . . . . . . . . . . . . . . . . . . . . . . Shockley-Read-Hall Lifetime Modifier . . . . . . . . . . . . . . . . . . . . . Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Single-Event Upset (SEU) #1. . . . . . . . . . . . . . . . . . . . . . . . . . . Single-Event Upset (SEU) #2. . . . . . . . . . . . . . . . . . . . . . . . . . . Gaussian X-Ray Pulse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Uniform X-Ray Pulse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Visible Light Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Ray Tracing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Ray Tracing Method Options . . . . . . . . . . . . . . . . . . . . . . . . . . . Incident Ray Quantities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TM and TE Wave . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Ray Termination. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spectral Intensity File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Transmission Through a Stack of Material Layers . . . . . . . . . . Example Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Black-Body Radiation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TRAPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Trap Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Trap States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Saved Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Trap Statement Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Single Electron Trap. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Time Dependent Traps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TFT Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Interface Traps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Identifying Interface Nodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . Specifying Interface States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Trap Placement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Heterojunction Limitations. . . . . . . . . . . . . . . . . . . . . . . . . . . . .xvi

3-118 3-119 3-119 3-119 3-120 3-121 3-127 3-127 3-127 3-128 3-128 3-129 3-129 3-129 3-130 3-131 3-131 3-131 3-132 3-132 3-133 3-134 3-134 3-134 3-134 3-135 3-135 3-136 3-137 3-137 3-138 3-138 3-139 3-141 3-141 3-141 3-142 3-142 3-142 3-142 3-142 3-143 3-145 3-145 3-145 3-146 3-146

MD 2007.12

Medici User Guide

Table of Contents

QBOX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-147 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-147 QBox Creation, Modification, and Deletion . . . . . . . . . . . . . . . . . 3-148 Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-148 SYMBOLIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-149 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-151 See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-151 Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-151 METHOD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-152 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-159 See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-160 Solution Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-160 Convergence Criteria . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-160 Default . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-161 XRI.NORM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-161 Error Tolerances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-161 Energy Balance Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-162 Lattice Temperature Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-162 ILUCGS, Bi-CGSTAB, and GMRES . . . . . . . . . . . . . . . . . . . . 3-162 Gummels Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-163 Newtons Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-163 DAMP.CON . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-164 SOI Convergence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-164 Continuation Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-165 Transient Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-165 Accurate Low-Level Current Calculations . . . . . . . . . . . . . . . . . . 3-166 LIMIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-167 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-167 SOLVE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-169 Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-179 See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-179 Initial Guesses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-180 Bias Selection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-180 Multiple Solutions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-180 Synchronization in Saving Solutions . . . . . . . . . . . . . . . . . . . . . . . 3-180 Circuit Analysis Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-181 Continuation Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-182 User-Input. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-182 Trace Back Problems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-182 Transient Simulations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-183 Ramped Voltage or Current . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-183 Sinusoidal Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-183 Post-Processing Impact Ionization. . . . . . . . . . . . . . . . . . . . . . . . . 3-183 Gate Current. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-184 Fowler-Nordheim Tunneling Analysis. . . . . . . . . . . . . . . . . . . . 3-184 Direct Tunneling Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-184 AC Small-Signal Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-184MD 2007.12 xvii

Table of Contents

Medici User Guide

S-Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AC Analysis with Circuit Simulation. . . . . . . . . . . . . . . . . . . . . Optical Analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Monochrome Light DC Response . . . . . . . . . . . . . . . . . . . . . . . Multi-Spectral Light DC Response . . . . . . . . . . . . . . . . . . . . . . Light Modulation Analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . Input/Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . EXTRACT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Extraction with Expressions and Names . . . . . . . . . . . . . . . . . . . . Extraction of Maximum Electric Field. . . . . . . . . . . . . . . . . . . . Calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Defining Mobility and Lifetime Values . . . . . . . . . . . . . . . . . . . Binary Search . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Defining Doping Profiles Using EXTRACT Expressions . . . . . Optimization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Optimization Guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Parameter Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Initial Guess and Limits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Examining the Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Terminating the Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Simple Extraction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Extract Fast Fourier Transform from Transient Data . . . . . . . . . . Extraction of MOS Device Parameters . . . . . . . . . . . . . . . . . . . . . Predefined Quantities in Expressions . . . . . . . . . . . . . . . . . . . . . . Gradients of Predefined Quantities . . . . . . . . . . . . . . . . . . . . . . PRINT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PLOT.1D. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Line Plots . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Minimum or Maximum Quantity and Location . . . . . . . . . . . . . Internal Plots of AC Quantities . . . . . . . . . . . . . . . . . . . . . . . . . Plots of Log File Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Axis Quantities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Circuit Analysis AAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Other Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PLOT.2D. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Disabling Clear . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Physical Device Boundaries. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Junctions, Depletion Regions, and Grid. . . . . . . . . . . . . . . . . . . . . Schematic Representations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Device Structure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .xviii

3-185 3-185 3-186 3-186 3-186 3-187 3-189 3-190 3-194 3-194 3-195 3-195 3-195 3-195 3-196 3-196 3-199 3-200 3-200 3-200 3-200 3-201 3-201 3-202 3-203 3-204 3-208 3-209 3-211 3-212 3-224 3-224 3-224 3-224 3-225 3-225 3-225 3-226 3-226 3-228 3-231 3-231 3-231 3-232 3-232 3-232 3-232

MD 2007.12

Medici User Guide

Table of Contents

Required Solutions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PLOT.3D. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Viewport, Plot Axes, and Rotation Angles . . . . . . . . . . . . . . . . . . Viewport . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Plot Axes and Rotation Angle . . . . . . . . . . . . . . . . . . . . . . . . . . 3D.SURFACE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Limitations and Plotting Combinations . . . . . . . . . . . . . . . . . . . . . Quantity Ranges. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CONTOUR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Minimum, Maximum, and Interval Values . . . . . . . . . . . . . . . . . . Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VECTOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Vector Scaling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Vector Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AC Vectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . FILL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Properties and Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E.LINE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Identifying Output and Input . . . . . . . . . . . . . . . . . . . . . . . . . . . . . First Potential Gradient Path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Previously Defined Solutions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . Line Type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LABEL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Sizing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Location . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Line and Arrowhead . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Starting Coordinates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LOG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Medici Log Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .MD 2007.12

3-233 3-234 3-241 3-242 3-242 3-242 3-242 3-243 3-244 3-244 3-244 3-244 3-245 3-250 3-250 3-250 3-251 3-252 3-254 3-254 3-254 3-255 3-255 3-256 3-257 3-258 3-258 3-259 3-263 3-263 3-263 3-264 3-264 3-264 3-265 3-267 3-267 3-267 3-267 3-267 3-267 3-268 3-269 3-270 3-273 3-273 3-273xix

Table of Contents

Medici User Guide

Aurora Data Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-274

IC-CAP Data Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Input Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Output Variables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S-Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y-Parameters and H-Parameters . . . . . . . . . . . . . . . . . . . . . . . . Electrode Names. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IC-CAP Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Data File Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LOAD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Single Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Two Solutions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Analyzing Differences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Storing a Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Solution File Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Setup Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SAVE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . File Formats . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Saving AC Quantities in TIF Files . . . . . . . . . . . . . . . . . . . . . . . Saving a Quadtree Mesh. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Coefficients and Material Parameters. . . . . . . . . . . . . . . . . . . . . . . . . MATERIAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Applicable Regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Parameters from Solution Files . . . . . . . . . . . . . . . . . . . . . . . . Optical Device AAM Parameters . . . . . . . . . . . . . . . . . . . . . . . . . Changing Table Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Coefficient Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Physical Absorption Models . . . . . . . . . . . . . . . . . . . . . . . . . . . Default Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Other Semiconductor Parameters . . . . . . . . . . . . . . . . . . . . . . . . Some Remarks on BGN2 Model . . . . . . . . . . . . . . . . . . . . . . . . MOBILITY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Impurity Dependent Mobility Tables . . . . . . . . . . . . . . . . . . . . . Mobility Parameters from Solution Files . . . . . . . . . . . . . . . . . . Default Mobility Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Note Regarding Piezoresistance Coefficients . . . . . . . . . . . . . . IMPURITY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .xx

3-274 3-274 3-275 3-275 3-276 3-276 3-276 3-277 3-278 3-278 3-279 3-279 3-279 3-279 3-279 3-279 3-280 3-280 3-281 3-282 3-283 3-283 3-283 3-283 3-285 3-286 3-304 3-304 3-304 3-304 3-304 3-304 3-305 3-306 3-306 3-314 3-315 3-316 3-335 3-335 3-335 3-335 3-336 3-345 3-346 3-348

MD 2007.12

Medici User Guide

Table of Contents

CONTACT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Work Function Potentials. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Cautions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Contacts to P-type Regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . Insulator Contacts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . N+ Poly Gate MOS Simulations . . . . . . . . . . . . . . . . . . . . . . . . Special Boundary Conditions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . Schottky Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Mixing Boundary Conditions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . INTERFACE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Interface Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Insulator Charge . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Saving Interface Parameters in Files . . . . . . . . . . . . . . . . . . . . . ANISOTROPIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Simple Anisotropic Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Anisotropic Properties of Materials . . . . . . . . . . . . . . . . . . . . . . Carrier Thermal Diffusivities . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . General Anisotropic Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Impact Ionization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thermal Conductivity. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Advanced Band Structure Parameters . . . . . . . . . . . . . . . . . . . . . . Circuit Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Circuit Mode Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Syntax and Use . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Control Statements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Order of Execution. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Circuit Elements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Punctuation and Case . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Circuit Nodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Current Nodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Medici Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Numerical Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Unit Identifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Logical Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Character Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Regional Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CALL Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Active Circuit Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Model Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .MD 2007.12

3-349 3-352 3-352 3-352 3-353 3-353 3-353 3-353 3-353 3-354 3-354 3-355 3-356 3-356 3-356 3-356 3-357 3-358 3-364 3-365 3-365 3-366 3-367 3-367 3-367 3-367 3-368 3-369 3-371 3-372 3-372 3-373 3-373 3-373 3-373 3-373 3-374 3-374 3-374 3-374 3-375 3-375 3-375 3-375 3-376 3-376 3-376xxi

Table of Contents

Medici User Guide

Model Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Using Your Own mdfky1 File. . . . . . . . . . . . . . . . . . . . . . . . . . . MOSFET Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . START . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . I . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Inductance Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Q . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. . . . . . . . . . . . . . . . . . .