progresses and challenges of euv lithography materials

10
Progresses and Challenges of EUV Lithography Materials Danilo De Simone, Anne-Marie Goethals, Frieda Van Roey, Tao Zheng, Philippe Foubert, Eric Hendrickx, Geert Vandenberghe, and Kurt Ronse IMEC, Kapeldreef 75, 3001 Leuven, Belgium In the last years the continuous efforts on the development of EUV lithography has allowed to push the lithographic performances of the EUV photoresists on the ASML NXE:3100 full field exposure tool at imec. The latest chemically amplified photoresists can reach an ultimate resolution of 16 nm and 24 nm for line-space (L/S) and dense contacts (CH), respectively, but the major issue on EUV photoresists remains to simultaneously meet resolution, sensitivity, line-edge roughness (LER) for LS and local CD uniformity (LCDU) for CH, suggesting that the desired performance characteristics of EUV photoresists may require the development of new EUV materials. Aiming to this, imec has recently started a new project to look into novel materials for EUV lithography to explore alternative approaches that can offer superior characteristics in photoresist imaging: improved LER and line collapse, high sensitivity and high etch resistance. In this paper we report the first results from the exploration of new EUV alternative materials and the latest results from the conventional EUV photoresist evaluation and process optimization at imec towards the ASML NXE:3300 full field exposure tool. Keyword: EUV lithography, EUVL, EUV resists, Alternative EUV materials 1. Introduction Today the shortening wavelength trend of exposure tools is continuing with the aim of achieving 1x nm resolution mass production by the deployment of the extreme ultraviolet lithography (EUV) at 13.5 nm wavelength. From a resist standpoint, at this stage the energy of the EUV photons exceed the ionization potential of resist materials but the concept of chemical amplification is still used as a key to the realization of EUV lithography, which requires highly sensitive resists for the reduction of the development cost of high-power exposure sources. Resins for EUV resists are mostly hydroxyl styrene, acrylate and alicyclic polymers of the kinds in use in 248 nm and 193 nm lithographic resists [1, 2, 3]. The photoacid generators are also based on similar chemistries as those used on 248 nm and 193 nm lithography [4] although they are optimized to tune their sensitivity to EUV radiation. Today, while maximizing the sensitivity of these resists, attempts are made to limits the diffusion range of the photoacid with the use of polymer-tethered photoacid generators or hybrid resists composed of polymers with high and low activation energy deprotection groups, in order to mitigate the resolution-loss issue of chemically amplified resist (CARs) due to the blur effect [5]. Further attempts are made to use low molecular weight resists in order to reduce the magnitude of the LER [6]. Efforts to improve LER have been made with the addition of base quenchers to the resist formulation but at the expense of photo speed. With the intensive development of photo materials the resolution on the 0.25NA ASML NXE:3100 EUV tool has reached today 22 nm line-space features with less than 20 mJ/cm 2 sensitivity using the chemically amplified mechanism [7]. Although there are these exceptional performances of patterning, the Journal of Photopolymer Science and Technology Volume 27, Number 5 (2014) 601–610 © 2014SPST Received April 30, 2014 Accepted June 5, 2014 601

Upload: others

Post on 05-Feb-2022

4 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Progresses and Challenges of EUV Lithography Materials

Progresses and Challenges of EUV Lithography Materials

Danilo De Simone, Anne-Marie Goethals, Frieda Van Roey, Tao Zheng,

Philippe Foubert, Eric Hendrickx, Geert Vandenberghe, and Kurt Ronse

IMEC, Kapeldreef 75, 3001 Leuven, Belgium

In the last years the continuous efforts on the development of EUV lithography has allowed to push the lithographic performances of the EUV photoresists on the ASML NXE:3100 full field exposure tool at imec. The latest chemically amplified photoresists can reach an ultimate resolution of 16 nm and 24 nm for line-space (L/S) and dense contacts (CH), respectively, but the major issue on EUV photoresists remains to simultaneously meet resolution, sensitivity, line-edge roughness (LER) for LS and local CD uniformity (LCDU) for CH, suggesting that the desired performance characteristics of EUV photoresists may require the development of new EUV materials. Aiming to this, imec has recently started a new project to look into novel materials for EUV lithography to explore alternative approaches that can offer superior characteristics in photoresist imaging: improved LER and line collapse, high sensitivity and high etch resistance. In this paper we report the first results from the exploration of new EUV alternative materials and the latest results from the conventional EUV photoresist evaluation and process optimization at imec towards the ASML NXE:3300 full field exposure tool.

Keyword: EUV lithography, EUVL, EUV resists, Alternative EUV materials

1. Introduction Today the shortening wavelength trend of

exposure tools is continuing with the aim of achieving 1x nm resolution mass production by the deployment of the extreme ultraviolet lithography (EUV) at 13.5 nm wavelength. From a resist standpoint, at this stage the energy of the EUV photons exceed the ionization potential of resist materials but the concept of chemical amplification is still used as a key to the realization of EUV lithography, which requires highly sensitive resists for the reduction of the development cost of high-power exposure sources.

Resins for EUV resists are mostly hydroxyl styrene, acrylate and alicyclic polymers of the kinds in use in 248 nm and 193 nm lithographic resists [1, 2, 3]. The photoacid generators are also based on similar chemistries as those used on 248 nm and 193 nm lithography [4] although they are optimized to tune their sensitivity to EUV

radiation. Today, while maximizing the sensitivity of these resists, attempts are made to limits the diffusion range of the photoacid with the use of polymer-tethered photoacid generators or hybrid resists composed of polymers with high and low activation energy deprotection groups, in order to mitigate the resolution-loss issue of chemically amplified resist (CARs) due to the blur effect [5]. Further attempts are made to use low molecular weight resists in order to reduce the magnitude of the LER [6]. Efforts to improve LER have been made with the addition of base quenchers to the resist formulation but at the expense of photo speed.

With the intensive development of photo materials the resolution on the 0.25NA ASML NXE:3100 EUV tool has reached today 22 nm line-space features with less than 20 mJ/cm2 sensitivity using the chemically amplified mechanism [7]. Although there are these exceptional performances of patterning, the

Journal of Photopolymer Science and Technology Volume 27, Number 5 (2014) 601–610 © 2014SPST

Received April 30, 2014 Accepted June 5, 2014 601

Page 2: Progresses and Challenges of EUV Lithography Materials

international technology roadmap for semiconductor (ITRS) specifications for technology nodes below 13 nm show a lot of challenging requirements that are intimately tied to the nature of the resist chemical amplification imaging mechanism, the thin-film confinement effects and the polymer molecular properties. These key material properties can limit the lithographic performances with serious consequences as poor critical dimension (CD) control, line collapse and the inability to simultaneously meet the resolution, line-width-roughness (LWR) and sensitivity (RLS) targets.

Recently new further efforts has been done on the CAR resist front in order to accomplish the RLS targets. The investigation of calixarene-derived molecular glass [8], as alternatives to polymeric or the use of fullerenes [9] as resist material are attractive as they have a small molecule size that potentially can help to reduce LER. A new series of novel organic-inorganic (hybrid) resist systems has been also developed, composed of a hafnium or zirconium oxide nanoparticle core and an organic methacrylate shell, they combine high sensitivity in extreme ultraviolet light with excellent etch resistance with oxygen plasma and fluorinated etch gases as compared to organic materials [10].

Novel resist design concepts are also under exploration. Non-chemically amplified resist (NON-CAR) design schemes have been reported as positive tone resist strategy based on de-polymerization or cleavage of a densely cross-linked organic resin. By cross-linking the resist forms a dense three dimensional network and the mechanical strength and adhesion of the resist are greatly improved compared to structures of linear polymer which are only held together by cohesive energy between chains. The improved mechanical strength should improve pattern collapse performance [11]. A complete new approach based on molecular layer deposition has been recently demonstrated as potential new method for synthetizing new photoresists with the advantages of precise control over organic film and homogenous composition [12].

Another interesting concept design on non-chemically amplified resist is based on a

negative tone resist strategy where there are metal-oxide molecules of polymer that cross link into a network by EUV exposure and bake [13]. The use of metal into the formulation gives excellent etching performances with thin film thickness applied.

It is in this frame that imec has started a new project, in the Advanced Lithography Program [14], to look into novel materials for EUV lithography and explore alternative approaches, that potentially can offer benefits in terms of resolution and LWR, patterning image fidelity, line collapse and etch resistance. For the time being several areas of work have been identified: EUV top-coats for DUV out-of-band (OoB) mitigation in EUV regime, alternative development approaches to suppress the line collapse phenomenon at small resist features and the negative tone imaging process, especially in the case of small trench and contacts. Another area of work that has been identified is related to the metal containing material worth to be investigated because potentially a candidate as new material concept for the next generation resist technology for EUV lithography.

In this paper we introduce the metal containing material (MCR) in terms of motivations and future work at imec, then we introduce the first experimental results available on the alternative EUV materials. Finally we present the status at imec of the EUV CAR resists performance towards 18 nm line-space (L/S) and 26 nm dense contact holes (CH).

1.1. Introduction to metal containing resists (MCRs) and future work

Novel EUV materials advances are showing the introduction of metals (Hf, Zr, Cr, Co, Fe, Sn, Pt for instance) into the resist formulation [15, 16]. One of the reasons is because the thin films used in EUV lithography can significantly limit the ability to transfer the pattern to the substrate during the etch steps. To obviate the need for an hard-mask layer and thus the increasing of the process costs, the improvement of the photoresist etch resistance can be a reasonable approach to fix this issue. Further, due to the relatively low intensity of current EUV sources, the next generation resists have to

602

J. Photopolym. Sci. Technol., Vol. 27, No. 5, 2014

Page 3: Progresses and Challenges of EUV Lithography Materials

demonstrate high sensitivity and optimum absorbance at 13.5 nm wavelength, therefore the selection of resist components, including the metal atom type, that have optimum absorbance is a key factor to design the appropriate material for EUV. If the metal is appropriately selected other benefits can be obtained from its introduction into the resist formulation. In the case of metal organometallic resists, for instance, it has been reported [17] that their high EUV optical density can maximize the use of the EUV photons and reduce the electron blur effected due to their high mass density where the mean-free path of secondary-electrons is shorter. Further, since the metals have a large range of redox potentials, resist chemistry can be engineered for high sensitivity without acid catalysis.

A possible introduction of MCRs in the line of a device manufacturing flow open new sceneries about the management of the wafers and tools with respect to potential metal contamination and metal cross contamination at wafer and tool level. Within this frame very recently imec has started an exploration in this direction aiming to answer the questions coming up about the contamination from MCRs when used in a manufacturing environment. As starting point a metal-oxide material has been selected and a set of experiments at wafer and track tool level are currently in progress to check the contamination. The contamination at wafer level will be checked on bare silicon wafers just after resist removal by wet and dry etching. The contamination at tool level will be checked processing bare silicon wafers in a “dry” mode before and after metal containing resist coating by a the track tool with the help of Total Reflection X-ray Fluorescence (TXRF) and Vapor Phase Decomposition Inductively Coupled Plasma Mass Spectrometry (VPD-ICP-MS) instruments to analyze the contamination level of the samples. Further experiments will involve more massively the track tool in first instance, in order to debug the process and have a robust track flow compatible with a manufacturing environment. 2. Experimental

Exposures have been carried out on the

0.25NA ASML NXE:3100 installed at imec and on ASML NXE:3300 installed at ASML both EUV full field scanner tools. Line-space and contact holes patterning were exposed with dipole and quasar illumination, respectively on NXE:3100. Dipole and quasar illumination were applied on L/S and CH, respectively in the case of NXE:3300.

Track process have been carried out on a TEL CLEAN track LITHIUS pro EUV interfaced with the scanner except to the negative tone process where the SOKUDO DUO track has been used to carry out the solvent based development step. All the resist samples were coated in a thickness range of 40-60 nm on Brewer Science E2STACK AL412 organic underlayer 20 nm thick on bare silicon wafer. All the top-coat samples were coated in a thickness range of 20-60 nm on top of a POR resist. Dedicated process settings depending on material sample type were applied.

Since the new development approach tested to prevent line collapse uses a silicon containing material a dedicated development cup on TEL Clean track LITHIUS PRO Z track was used.

The experimental resist and top-coat samples were installed on a small volume dispense unit on track. TMAH 0.26N was used as developer for positive tone imaging and n-butyl acetate as developer for negative tone imaging.

CD and line width roughness (LWR) have been measured on Hitachi CG-4000 scanning electron microscope. In case of contacts the local variation of CD dimension (LCDU) has been taken into account as equivalent to LWR [18]. Process windows for L/S and CH were analyzed by Klarity Prodata Software from KLA-Tencor based on CD measurements and top-down quality images.

DUV Out-of-Band has been evaluated by two different methods developed at imec [19, 20] to determine the sensitivity of the EUV resist to the OoB radiation: one is by comparing the dose to clear of a resist by using a reflective EUV mask (multilayer coated mask – ML mask) and a reflective DUV mask (aluminum coated mask – Al mask) to quantify the DUV/EUV ratio (OoB %), the other one is by measuring the CD of the same printed feature present in the

603

J. Photopolym. Sci. Technol., Vol. 27, No. 5, 2014

Page 4: Progresses and Challenges of EUV Lithography Materials

area around the edge of the field, where the features are impacted by extra OoB exposure, and the bulk area of a field to determine a CD between the two different areas.

3. Results and Discussion 3.1. Top Coat for DUV Out-of-Band mitigation in EUV regime

EUV light sources tend to produce some DUV radiation (out-of-band) along with the EUV light that can reach the wafer affecting the resist if it is sensitive to the DUV wavelength with consequent degradation of the imaging quality on EUV resist. In principle the introduction of top coat material tailored to absorb the DUV OoB lights could enhance the lithographic performances of the underneath EUV resist when it is prone to absorb the DUV OoB light as it is the case of part of the current CAR EUV resists. In this study three top coats designed to have a different DUV absorption spectrum in the range between 150 nm and 400 nm wavelengths has been investigated to explore their OoB mitigation capability when coated on top of a photoresist sensitive to the OoB radiation. In the Table 1 is reported the transmittance of each TC at different wavelengths and fixed TC film thickness.

Table 1. Transmittance of the TC at different wavelengths and fixed film thickness. TC

sample

TC

Thickness

TC transmittance

@ 13.5nm @ 190nm @ 240nm

TC1 20nm 87% 35% 72%

TC2 20nm 85% 37% 67%

TC3 30nm 90% 30% 93%

The TC1 shows the best results among the three top-coats on the resist taken in consideration giving minimum values for both OoB sensitivity and CD, but not all the top coats investigated show similar behavior. The resist OoB sensitivity, when applying a TC, has been evaluated using the two different methods described in the experimental part. The results of the two methods, OoB % and the CD are reported in Figure 1.

Figure 1. OoB % and CD are reported for 4

different process without (resist1) and with top coats. OoB is calculated as follows: OoB % = [E0 (ML mask) / E0 (Al mask)]*100 where the E0 is the dose to clear calculated from the contrast curves. The CD is calculated as CD difference between two different areas of printed 36 nm contacts on wafers where one area is considered sensitive to the DUV OoB. A second set of experiments has investigated the effect of the TC thickness on the OoB sensitivity. The TC1 material with lower DUV/EUV ratio and minimum CD has been selected for this purpose taking in consideration 20 nm and 60 nm film thicknesses. At 60 nm film thickness TC1 shows very low transmittance at 190 nm wavelength as reported in Table 2. Table 2. Transmittance of the TC1 at different wavelengths and different film thickness.

The experimental results are reported in

figure 2. They give indication that by increasing the TC film thickness the CD can be reduced but at the expense of the dose-to-size.

TC1 film

thickness

transmittance

@ 13.5nm

transmittance

@ 190nm

transmittance

@ 240nm

10 nm 93% 54% 81%

20 nm 86% 36% 72%

30 nm 80% 21% 65%

50 nm 69% 7% 58%

60 nm 64% 4% 51%

2.85

2.48

2.56

3.11

1.36

1.20

1.39

1.36

R E S I S T 1 R E S I S T 1   +  ( T C 1   2 0NM )

R E S I S T 1   +  ( T C 2   2 0NM )

R E S I S T 1   +( T C 3   3 0NM )

OoB % (ML/AL) Delta CD CH

604

J. Photopolym. Sci. Technol., Vol. 27, No. 5, 2014

Page 5: Progresses and Challenges of EUV Lithography Materials

Figure 2. Dose-to-size increasing and CD reduction when using TC1 at 20 nm and 60 nm film thickness to open 36 nm contact holes with respect to the same process without top-coat.

All the experimental results give indication that the DUV OoB mitigation by TC is not sufficient and further improvements are needed on material formulation in order to tailor the appropriate top coat material for the OoB suppression. There is margin to tailor the right top coat material in order to minimize the OoB radiation into the resist, but in order to take the productivity into account, the TC film should have the least possible impact on the dose-to-size. The availability of the EUV tool source spectrum could help the fine tuning for the appropriate material to suppress the DUV OoB in the EUV regime. 3.2. Dry development rinse process for line collapse suppression

Pattern collapse (PC) is one of the main limitations for resolution and process window at tight pitch resolved by EUV lithography. The possible major cause of pattern collapse is the surface tension of the rinsing liquid in the process of drying the rinsing liquid during the development process step [21]. The PC phenomenon is exasperated by the fact that the resists for EUV show dramatically reduced modulus at small features sizes due to high surface to volume ratio effects [22]. Therefore a new concept of the development step able to suppress the capillary forces is worth to be taken into account.

Recently a new approach at the lithographic development step has been introduced [23] in order to suppress the line collapse phenomenon. The brief description of the process scheme is reported in Figure 3.

Figure 3. dry-development-rinse process: using a conventional development cup unit the rinsing liquid step is gradually replaced by a material containing a polymer which can replace the exposed and developed part. A dry etch back step and resist strip step have to be considered to accomplish the final patterning.

Three main aspects of this approach are peculiar: 1) the effectiveness to suppress the line collapse since it strongly minimizes the capillarity force between two lines, 2) the intrinsic characteristic to reverse the patterning, 3) the high etch resistance that is better when compared to a standard organic resist because the rinse material can contain inorganic materials into the composition.

This new process concept has been tested at imec. Figure 4 reports a comparison between 19 nm L/S patterning obtained by a conventional EUV photoresist prone to collapse and the same process with the dry-development-rinse process applied.

Figure 4. (top) top-down pictures of 19 nm L/S through dose using conventional development, (bottom) 19 nm L/S after application of the dry-development rinse process on the same resist and etch back process.

Strong improvement in line collapse was observed after a dry etch back showing the good potentiality of the application. The investigation of the etch rate by a different chemistry has been also explored in order to find the right chemistry for the resist stripping step. It has been observed that the dry-development rinse material can be etched

8%

‐12%

30%

‐22%‐30%

‐20%

‐10%

0%

10%

20%

30%

40%

Dose increasing % Delta CD reduction %

TC1 20nm film thickness TC1 60nm film thickness

exposure post-expo-bakedeveloper

puddle dry dev. rinse

(developer replacement)

spin dry and bake dry etch resist stripping

resist

same development cup unit

reference process

dry development

rinse

dose increasing

605

J. Photopolym. Sci. Technol., Vol. 27, No. 5, 2014

Page 6: Progresses and Challenges of EUV Lithography Materials

approximately 18 times slower than the resist using a chlorine/oxygen chemistry.

The first process comparison on 22 nm L/S before and after resist stripping is reported in Figure 5.

Figure 5. 22 nm half-pitch after application of the dry-development-rinse process before and after resist stripping. After resist stripping LWR is equal to 2.5±0.2 nm. It represents a good starting point for new fine tuning on line width roughness.

Figure 6. dry-development-rinse process before resist stripping on 22 nm L/S with an optimized CF4 dry etch back recipe. The reversed patterning is obtained after applying the dry development rinse process.

The etch back represents a key step of the process in order to get the right CD target. Currently the optimization of this process step is ongoing. In Figure 6, the latest results with an optimized etch back process by CF4 gas are reported before to the resist stripping on 22 nm L/S patterning.

In this specific case the photoresist in the

larger area is quite consumed and we hypothesize that, because the dry development rinse material is more like oxide, when it is etched, it may release some oxygen, which will increase the photoresist etch rate.

Further investigation is now in progress considering the planarity capability of the dry development rinse material, and the nominal film thickness optimization depending on the density of the printed features. 3.3. Negative tone imaging (NTI)

It is well known that is very tough to get tight CD for trenches and contact holes with positive tone imaging process [24], so the use of organic solvents, which induces negative tone resist development, is potentially a good option for these type of applications especially when aiming to get trench CD below 20 nm [25]. We have started to explore the ultimate resolution achievable with the NTI process on EUV lithography looking at the latest photoresist generation. First results on trenches are shown in Figure 7 where 18 nm can be resolved at a dose of approx. 20 mJ/cm2.

Figure 7. NTI process for trenches by EUV patterning. Trenches are open by using a conventional illumination with sigma = 0.51. and a reticle with 30 nm line on pitch 120 nm.

New material versions are currently under formulation by changing components loading to complete the first round of the DOE in

after etch back after etch back and resist stripping

Initial patterning (resist)

Dry-development rinse material

18.8 mJ/cm2 20.0 mJ/cm

2

21.2 mJ/cm2 22.4 mJ/cm

2

21.7 nm 20.1 nm

18.6 nm 16.2 nm

606

J. Photopolym. Sci. Technol., Vol. 27, No. 5, 2014

Page 7: Progresses and Challenges of EUV Lithography Materials

place. The new exploration gave also the indication that a dedicated formulation for contact holes should be necessary because a preliminary exposure shows high resist sensitivity with a dose below 10 mJ/cm2 when applying the same resist used for trenches. 3.4. Advances in EUV CAR resist material screening

At imec we have systematically been evaluating EUV CA resists based on different platforms from different vendors since 2008 on full field EUV scanners. Initial screening was carried out on the ASML ADT for 40 nm down to 27 nm L/S and 30 nm CH, followed by the NXE3100 scanner (0.25NA) focusing on 22 nm LS and 28-26 nm contact holes by using off-axis illumination [7,18]. Significant progress in resist resolution performance has been demonstrated through the years. The latest resist material screening on the NXE3100 is focused on 18 nm L/S and 26 nm to 24 nm CH towards the ASML full field production scanner NXE:3300, which is planned to be installed at imec later this year. The new requirements taken into account for the material evaluation in 2014 are reported in Figure 8.

Figure 8. imec resist performances targets for on ASML EUV NXE:3100 full field scanner tool.

For selecting a baseline resist towards the NXE3300, resist screening at 22 nm L/S target dimension was carried out in 2013. Simultaneously meeting the requirements for sensitivity and LER remains a challenge. Several resists were providing lower LER, however at higher dose-to-size than

the POR2012 resist, as illustrated in Figure 9. The lowest LER value of 2.6 nm was achieved in resist V and W, at doses 30-40mJ/cm2. Ultimate resolution of resist V and W using dipole 60 illumination were respectively 19 nm and 18 nm. Resist U showed a good compromise of 3.2 nm LER at a dose of 18.4 mJ/cm2. This resist U also provided the widest processing latitudes on 22 nm L/S (Figure 10) from the resists evaluated at that time. Resist U was selected as a new reference resist for 22 nm LS (POR2013).

Figure 9 : Sensitivity versus line edge roughness for 22nm L/S in several resists, using dipole 60 illumination (0.41/0.81) on the NXE:3100 (NA 0.25) in a film thickness of 40 nm.

Figure 10 : Processing latitude for 22 nm L/S. Resist U provides the largest process window.

Screening for 18 nm L/S on the NXE3100

started in 2014. From all resist that were screened for 22 nm L/S, resist W was the only one resolving 18 nm L/S. New materials were provided by the vendors and tested. No resist is meeting the LWR target of 4.2 nm and high dose in the range of 30-50 mJ/cm2 seems to be necessary to resolve 18 nm (Figure 11). Resist B2 is the best performing resist for 18 nm L/S, having the lowest LWR of 5.1/nm and widest process window (Figure 12). However, resists B2 has also the highest dose-to-size (49 mJ/cm2) from all resists evaluated. Resists A2 and W have relatively low LWR at

5

10

15

20

25

30

35

40

45

50

2 2.5 3 3.5 4 4.5 5

Resist W

POR2012Resist T

NXE 2013Target

LER (nm)

Resist M

Resist V

Resist V

Resist U

30.8mJ/cm22.66nm LER

Resist T

18.4mJ/cm23.2nm LER

15.9mJ/cm23.2nm LER

Resist U

Resist Y

26.1mJ/cm23.1nm LER

Resist Y

DOF (m)

0

5

10

15

20

25

30

35

0 0.1 0.2 0.3 0.4

Resist M

Resist U

Resist T

POR2012

Resist Y

Resist X

607

J. Photopolym. Sci. Technol., Vol. 27, No. 5, 2014

Page 8: Progresses and Challenges of EUV Lithography Materials

lower dose but did not provide a decent process window because these materials suffer from pattern collapse in a specific pattern on the wafer, even with the use of firm rinse. Alternative rinse materials and new underlayers are being considered to further improve the 18 nm performance.

Figure 11. Sensitivity vs. LWR for 18 nm L/S, exposed with dipole 60. Resist thickness was 35 nm and the firm rinse was applied.

Figure 12. Process window for 18 nm L/S, exposed with dipole 60. Resist thickness was 35 nm and firm rinse was applied.

Figure 13. Ultimate resolution of resist V on NXE:3300 (NA 0.33) tool with dipole 45 in 30 nm resist thickness.

The target ultimate resolution of 16 nm L/S was achieved on NXE:3300 tool with dipole 45 in 30 nm film thickness in the resist V. Pattern collapse is the major resolution limit

as shown in Figure 13. With regard to contact screening, sensitivity

vs. local CD uniformity (LCDU) is plotted in figure 14 for 26 nm dense contacts. Several resists demonstrate 1.3-1.2 nm (1sigma) of LCDU in a 20-26 mJ/cm2 dose range. Lower LCDU values of 1.1-1 nm were achieved at doses > 26 mJ/cm2.

The 4 resists represented by a black border dots were installed on track and extensively studied. Results related to the dose-to-size, the LCDU and the process window in terms of maximum exposure latitude and maximum depth-of-focus are reported in Figure 15.

Resist U and T have shown the largest process windows, similar LCDU but Resist U had a lower dose to size. Resist M has shown the best sensitivity and LCDU compromise.

Printing 24 nm dense contacts with good LCDU is even more challenging. The exposure of resist T with quasar illumination in 60 nm film thickness was able to resolve 24 nm dense contacts on NXE:3100 with an LCDU of 1.7 nm, although no process window was observed. A process window of 14.5% EL and 120 nm DOF was obtained on NXE:3300 printing 24 nm dense contacts while a resolution of 22 nm contacts using conventional illumination in the same resist T was achieved (Figure 16).

Figure 14. Sensitivity vs. LCDU for 26 nm dense contact holes. Lower LCDU values of 1.1-1 nm were achieved at doses > 26 mJ/cm2

4. Conclusion A new class of organic and inorganic materials for EUV lithography are currently under development at many research

LWR(3,nm)

Resist A2

5.0

10.0

15.0

20.0

25.0

30.0

35.0

40.0

45.0

50.0

3.5 4.0 4.5 5.0 5.5 6.0 6.5 7.0

Resist W

Resist B2

0

2

4

6

8

10

12

14

16

18

20

0 0.1 0.2 0.3

Resist B2

Resist C2

Resist A2

Resist D2

DOF (m)

10

15

20

25

30

35

0.8 1 1.2 1.4 1.6 1.8 2

LCDU(1,nm)

Resist T

Resist U

POR2012Resist M

608

J. Photopolym. Sci. Technol., Vol. 27, No. 5, 2014

Page 9: Progresses and Challenges of EUV Lithography Materials

Figure 15. Sensitivity vs. LCDU for 26 nm dense contact holes. Resist A has shown the best sensitivity and LCDU compromise.

Figure 16. 24 nm and 22 nm dense contacts exposed on NXE:3300 (0.33 NA) in 60 nm thick resist T using conventional illumination. institution and university sites aiming to accomplish the EUV RLS targets and to improve the current process margin with high resist image contrast, good resist adhesion on substrate and high etch resistance. New concepts are under investigation trying to move beyond the chemically amplified mechanism and to incorporate metal atoms into the resist formulation. Within this frame we have introduced a new imec project that intends to explore the capability and performances of these alternative EUV materials. We have presented the results of several top-coats for EUV showing that potentially it is possible to tailor a specific top-coat material able to fully suppress the DUV out-of-band coming from the EUV light source. This could be realized as soon as the full emission spectrum from the EUV source will be available. Further, the source spectrum availability should allow to optimize the resist composition (the photo acid generator for instance) to reduce OoB sensitivity of the resist.

We have tested the dry development rinse material on 19 nm and 22 nm half-pitch and results show that significant process margin

can be gained due to strong improvement on line collapse. Negative tone imaging is under exploration and initial experiments shows 18 nm resolution on isolated trenches. A new set of experiments is started to explore the level of potential contamination when using a metal containing resist. Finally, we have reported the latest results from the conventional EUV photoresist evaluation and process optimization showing the ultimate resolution of 16 nm and 24 nm for line-space (LS) and dense contacts (CH), respectively with conventional EUV photoresists using the 0.33NA ASML NXE:3300 full field exposure tool. Current CA resists are a good starting point to achieve the full poial of the NXE:3300 (13nm H Acknowledgements

The authors want to thank Erik Verduijn (GlobalFoundries), Ardavan Niroomand (Micron) and Andre Van Dijk (ASML) for their contribution to the investigation of the DUV out-of-band. We would like to acknowledge Safak Sayan (Intel) for his contribution on the dry development rinse material investigation. We would like to thank all the resist suppliers (TOK, JSR, FFEM, Shin-Etsu, DOW, Nissan Chemical, AZ-EM, Inpria and Brewer Science) for providing material samples. Special thanks to Ryuji Onishi (Nissan Chemical) and Grozdan Grozev (FFEM) for the fruitful discussion and all their experimental support in the material evaluation. We like to thank Rik Hoefnagels and Marieke Meeuwissen from ASML for the excellent collaboration and their contributions to the CA resist screening experiments. Finally we acknowledge the ASML, the TEL and the Sokudo team at imec for NXE:3100 exposure tool and track tool support. References 1. B. Wua and A. Kumar, J. Vac. Sci. Technol.

B 25 (6), Nov/Dec 2007 2. R. A. Lawson, J. Cheng, A. Cheshmehkani,

L. M. Tolbert, C. L. Henderson, Proc. SPIE, 8682 (2013) 868221-2.

3. W. Wu, K. Nuzhdin, M. Vyushkova, I. Janik, D. Bartels, J. Phys. Chem B, 116 (2012) 6215-6224.

4. T. Kozawa and S. Tagawa, J. Photopolym, Sci. Technol,. 24 (2) (2011) 137.

5. H. Tsubaki, S. Tarutani, N. Inoue, H. Takizawa, T. Goto, Proc. SPIE, 8679

Resist POR 2012 Resist M Resist T Resist U

Top view

26nmCH

(C31/P52)

Dose to Size (≤20mJ/cm2) 18.1mJ/cm2 19.4mJ/cm2 31.7mJ/cm2 26.3mJ/cm2

1σ LCDU (≤1.0nm) 1.5nm 1.2nm 1.1nm 1.1nm

3σ CER 1.3nm 1.3nm 1.2nm 1.3nm

Max EL Max DOF

15% 160nm

15% 160nm

17.1%>300nm

21% 290nm

609

J. Photopolym. Sci. Technol., Vol. 27, No. 5, 2014

Page 10: Progresses and Challenges of EUV Lithography Materials

(2013) 867905-1. 6. T. Itani, Microelectronic Engineering, 86

(2009) 207-212. 7. A.M. Goethals, P. Foubert, F. Van Roey, E.

Hendrickx, EUVL symposium 2013 8. H. Kudo and S. Matsubara, International

Workshop on EUV Lithography, 2013 9. A. Frommhold, D.X. Yang, A. Mc

Clelland, X. Xued, R.E. Palmera, A.P.G. Robinson, Proc. SPIE, 8682 (2013) 86820Q-1.

10. M. Trikeriotis, M. Krysak, Y. Sook Chung, C. Ouyang, B. Cardineau, R. Brainard, C. K. Ober, E. P. Giannelis, K. Cho, Proc.SPIE, 8322 (2012) 83220U-1.

11.R. A. Lawson, J. Cheng, A. Cheshmehkani, L. M. Tolbert, C. L. Henderson, Proc. SPIE, 8682 (2013) 868221-1.

12. H. Zhou, S. F. Bent, Proc. SPIE, 8682 (2013) 86820U-1.

13. A. Grenville, EUVL symposium 2013 14. E. Hendrickx, R. Gronheid, J. Hermans, G.

Lorusso, P. Foubert, I. Pollantier, A. M. Goethals, R. Jonckheere, G.Vandenberghe, K. Ronse, J. Photopolym, Sci. Technol., 26 (2013) 587.

15. B. Cardineau, M. Marnell, H. Al-Mashat, M. Vockenhuber, Y. Ekinci, C. Sarma, M. Neisser, D. A. Freedman, R. L. Brainard, Proc. SPIE, 9051 (2014) 9051-48.

16. D. Xu Yang, A. Frommhold, D. He, Z. Li, R. E. Palmer, M. A. Lebedeva, T. W. Chamberlain, A. N. Khlobystov, A. P. G.

Robinson, Proc. SPIE, 9051 (2014) 9051-50.

17. B. Cardineau, J. Passarelli, M Sortland, R. Del Re, W Tear, H. Al-Mashat, M. Marnell, K. Heard, A Aslam, Jason Pavlich, Rachel Kaminski, P. Nastasi, C. Sarma, D. Freedman, R. Brainard, EUVL Symposium, 2013.

18. A. M. Goethals, P. Foubert, K. Hosokawa, F. Van Roey, A. Niromaand, D. Van Den Heuvel, I. Pollantier, J. Photopolym, Sci. Technol., 25 (5) (2012) 559.

19. G.F. Lorusso, T. Matsumiya, J. Iwashita, T.Hirayama, E. Hendrickx, Proc. SPIE, 8679 (2013) 86792V-1.

20. C. Maloney, James Word, Germain L. Fenger, A. Niroomand, G. F. Lorusso, R. Jonckheere, E. Hendrickx, B. W. Smitha, Proc. SPIE, 9048 (2014) 9048-28.

21. D. E. Noga, W-M. Yeh, R. A Lawson, L. M. Tolbert, C. L Henderson, Proc. SPIE, 7639 (2010) 76392O.

22. W-M. Yeh, D. E. Noga, R. A. Lawson, L. M Tolbert, C. L., Henderson Proc.SPIE, 7639 (2010) 76391I.

23. R. Sakamoto, Y. Sakaida, B. C. Ho, Proc. SPIE, 8682 (2013) 868205-1.

24. D. De Simone, E. Tenaglia. P. Piazza A. Vaccaro, M. Bollin, G. Capetti, P. Piacentini, P. Canestrari, Microelectronic Engineering, 88 (8) (2011) 1917-1922.

25. J. J. Santillan, K. Yamada, T. Itani, Applied Physics Express, 7 (2014) 016501.

610

J. Photopolym. Sci. Technol., Vol. 27, No. 5, 2014