a closed loop cdc verification methodology - dvcon … · •valid cdc paths which can be mapped to...

14
A Closed Loop CDC Verification Methodology Andrew Cunningham © Accellera Systems Initiative 1

Upload: vuthuan

Post on 24-Apr-2018

239 views

Category:

Documents


3 download

TRANSCRIPT

Page 1: A Closed Loop CDC Verification Methodology - DVCon … · •Valid CDC paths which can be mapped to a valid CDC scheme 2. Cautions •The CDC path could potentially cause ... •Automatic

A Closed Loop CDC Verification Methodology

Andrew Cunningham

© Accellera Systems Initiative 1

Page 2: A Closed Loop CDC Verification Methodology - DVCon … · •Valid CDC paths which can be mapped to a valid CDC scheme 2. Cautions •The CDC path could potentially cause ... •Automatic

Agenda

• Introduction

• Standard CDC Methodology

• Standard CDC Results Analysis

• Closed Loop CDC Methodology

• Results

• Conclusions

© Accellera Systems Initiative 2

Page 3: A Closed Loop CDC Verification Methodology - DVCon … · •Valid CDC paths which can be mapped to a valid CDC scheme 2. Cautions •The CDC path could potentially cause ... •Automatic

Introduction Overview

© Accellera Systems Initiative 3

• DUT

– PCIe 2.0 Endpoint

– 26 clock domains

– 1.8M standard cells

– 1 HSIO Hard macro

Block B (MAC Controller)

Block C (Application Layer)

Block A (HSIO)

Page 4: A Closed Loop CDC Verification Methodology - DVCon … · •Valid CDC paths which can be mapped to a valid CDC scheme 2. Cautions •The CDC path could potentially cause ... •Automatic

Introduction Metastability

© Accellera Systems Initiative 4

Page 5: A Closed Loop CDC Verification Methodology - DVCon … · •Valid CDC paths which can be mapped to a valid CDC scheme 2. Cautions •The CDC path could potentially cause ... •Automatic

Introduction Synchronizers

• Designers add synchronizer cells to mitigate metastable signals.

• Synchronizers are library cells that designed to prevent metastable values being sampled

© Accellera Systems Initiative 5

Page 6: A Closed Loop CDC Verification Methodology - DVCon … · •Valid CDC paths which can be mapped to a valid CDC scheme 2. Cautions •The CDC path could potentially cause ... •Automatic

Standard CDC Methodology

© Accellera Systems Initiative 6

Page 7: A Closed Loop CDC Verification Methodology - DVCon … · •Valid CDC paths which can be mapped to a valid CDC scheme 2. Cautions •The CDC path could potentially cause ... •Automatic

CDC Methodology Results

© Accellera Systems Initiative 7

• Categories:

1. Proven • Valid CDC paths which can be mapped to a valid CDC scheme

2. Cautions • The CDC path could potentially cause metastability issues and a

protocol checker should be used to verify the interface logic

3. Violations • Signals do not adhere to any predefined CDC scheme and require

analysis/debug

Page 8: A Closed Loop CDC Verification Methodology - DVCon … · •Valid CDC paths which can be mapped to a valid CDC scheme 2. Cautions •The CDC path could potentially cause ... •Automatic

CDC Methodology Analysis

© Accellera Systems Initiative 8

CDC Violations and Cautions can be categorized into the following types:

1. Wrong clock specification

2. Quasi-static (stable) signals

3. Dynamic asynchronous Interfaces

4. Non-standard asynchronous design

5. CDC unfriendly designs

Page 9: A Closed Loop CDC Verification Methodology - DVCon … · •Valid CDC paths which can be mapped to a valid CDC scheme 2. Cautions •The CDC path could potentially cause ... •Automatic

CDC Methodology Analysis

© Accellera Systems Initiative 9

0

10

20

30

40

50

60

70

Type1Type2

Type3Type4

Type5

Vio

lato

in R

ange

Violation Types

Upper Bound

Lower Bound

Page 10: A Closed Loop CDC Verification Methodology - DVCon … · •Valid CDC paths which can be mapped to a valid CDC scheme 2. Cautions •The CDC path could potentially cause ... •Automatic

Closed Loop CDC Methodology

© Accellera Systems Initiative 11

Page 11: A Closed Loop CDC Verification Methodology - DVCon … · •Valid CDC paths which can be mapped to a valid CDC scheme 2. Cautions •The CDC path could potentially cause ... •Automatic

Closing the Loop • In order to eliminate all type 1 violations, all IO clocking

information is generated from STA sign off tool – IO clocking categories:

• Single Clock Domain • Multi Clock Domain • Missing clock

• Assertions are generated from CDC violatoins and simulated – Type 2 example assert property (

@(posedge TX_clock) !$stable(TX_signal) |-> !config_phase );

– All violations with passing assertions are waived. – If violation assertions fails in simulation, then further debug is

required.

© Accellera Systems Initiative 12

Page 12: A Closed Loop CDC Verification Methodology - DVCon … · •Valid CDC paths which can be mapped to a valid CDC scheme 2. Cautions •The CDC path could potentially cause ... •Automatic

© Accellera Systems Initiative 13

Closed Loop Results

0

2000

4000

6000

8000

10000

12000

14000

Run1

Run2

Final

Vio

lati

on

Flow Run

Application of Closed Loop updates

Remaining

Removed

Page 13: A Closed Loop CDC Verification Methodology - DVCon … · •Valid CDC paths which can be mapped to a valid CDC scheme 2. Cautions •The CDC path could potentially cause ... •Automatic

Conclusions

© Accellera Systems Initiative 15

• Accelerates CDC configuration setup

• Automatic wavering and validation of false violations

• Reduces risk of incorrectly waiving a real issue

• Highlights CDC functional validation holes

• Enables Designer to focus on the real violations

• Faster execution time for overall CDC flow

Page 14: A Closed Loop CDC Verification Methodology - DVCon … · •Valid CDC paths which can be mapped to a valid CDC scheme 2. Cautions •The CDC path could potentially cause ... •Automatic

© Accellera Systems Initiative 16

Questions?