equipment solutions - sistem technology · pdf filesistem technology has attended at many of...

24
Semiconductor and High Technology Equipment Solutions SiSTEM Technology Ltd

Upload: lekiet

Post on 06-Feb-2018

227 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

Semiconductor and High Technology

Equipment Solutions

SiSTEM Technology Ltd

Page 2: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 02

Supplies that meet your time, cost and quality expectations.

The Company

SiSTEM Technology Ltd is a full service company in operation since June 2004. Prior to this , SiSTEM’s founder, Chris Ridge, had worked in the semiconductor and high technology industries for over 30 years in research and development, process engineering and later capital equipment distribution.

Our Goals

Our company goals are to supply to our customer’s advanced tech-nology products which meet their needs of quality build and reliabil-ity , on-time delivery, and competitive cost . Only in this way will we establish a justifiable reputation as a key supplier in what is an in-creasingly demanding and ever-changing advanced technology mar-ket place.

Our Suppliers

A key part of our success to date may be attributed to the impressive list of manufacturing suppliers that we are privileged to represent in the UK and Ireland, Europe and, in some cases ,Globally. Many of these companies are world-wide leaders in their fields and they contin-ue to contribute to the outstanding developments and ranges of products offered by the Micro and Nano-electronics, Photovoltaic, Thin Film display, and other High Technology industries.

Customer Support

From our offices in the Caswell Science & Technology Park, we support our customers across the UK and Ireland and in Mainland Europe. We offer installation, commissioning, warranty support, service contracts and upgrades from our factory-trained engineers who share our commitment to quality of supply.

Marketing and Affiliations

SiSTEM Technology has attended at many of the UK and Ireland exhi-bitions and conferences in recent years and our customer base contin-ues to grow as a result of our ever –increasing exposure through these events. Our affiliations with the UK’s leading trade associations for our industry, NMI (National Microelectronics Institute) and JEMI ( Joint Equipment and Materials Initiative) also play a major role in helping to promote the goods and services our company provides.

Page 3: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 03

Supplies that meet your time, cost and quality expectations.

Atomic layer Deposition

PICOSUN R-SERIES

Manual or semi-automatic processing for

research and development

PICOPLASMA™ Source System

Picosun’s innovative PICOPLASMA TM plas-

ma enhanced ALD (PEALD) source system

is based on a highly advanced, ion-free

remote plasma source.

PICOSUN P-SERIES

Fully automatic single wafer,

cluster and batch processes for

high-volume manufacturing

Page 4: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 04

Supplies that meet your time, cost and quality expectations.

Atomic layer Deposition

Picofloat™ Particle Coating System

The powder to be coated is in a constant motion which ensures uniform ALD film

formation on every particle down to nano-scaled dimensions

PICOSUN™ novel, innovative Roll-to-Roll ALD Coating System.

ALD is well suited technique for depositing thin inorganic coatings onto temperature-sensitive and/or flexible

PICOSUN™ P-1000 ALD Reactor

Has an extra-large reaction chamber for wafers up to 450

mm diameter, sheets of glass, and large batches of 3D

objects.

Page 5: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 05

Supplies that meet your time, cost and quality expectations.

High Vacuum Process Systems

Nanoquest III Multi-substrate ion milling system

with next-gen RF ICP ion source.

Features

• 22cm RF ICP Ion Source

• Up to 3×6” Planetary

• S.I.M.S. End-point Detection

Nanoquest Pico A compact, low-cost

Ion milling platform for advanced R & D

Process methods:

Ion Beam Etching (IBE)

Reactive Ion Beam Etching (RIBE)

Nanoquest II Ion Beam Etch platform for wafers

up to 8 inches.

Options Include:

• IBS Deposition

• Sputter Deposition

• In-situ Camera

• S.I.M.S. End-point Detection

• Load-lock

NANOQUEST ION BEAM ETCH

Nanoquest I A compact, low cost platform

for advanced Ion beam process

Process methods:

Ion Beam Etching (IBE)

Ion Beam Sputter Deposition

Ion Beam Assisted Deposition (IBAD)

Reactive Ion Beam Etching (RIBE)

Chemically Assisted Ion Beam Etching ( CAIBE)

Page 6: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 06

Supplies that meet your time, cost and quality expectations.

High Vacuum Process Systems

Nanochrome IV Plasma Assisted Reactive

Magnetron Sputtering

Key Benefits:

Exceptional process repeatability

Stable deposition rates up to 10 Å/Sec

Very low arcing

Capable of producing films with 100+ layers

Optional load-lock operation

Nanochrome Pico A compact, low-cost

platform for advanced Thin Film Coating

Process methods:

Magnetron Sputtering

E-beam Evaporation

Thermal Evaporation

Ion Assisted Deposition

Knudson Cell Evaporation

Nanochrome II An R&D/Pilot Physical

Vapour Deposition System.

Process methods:

Co-Sputtering

Ion Beam Assisted Deposition (IBAD)

RF, AC & Planar Magnetron Sputtering

NANOCHROME PHYSICAL VAPOUR DEPOSITION

Nanochrome I An R&D/Pilot Physical Vapour

Deposition System

Process methods:

Co-Evaporation

Multi-Layered E-beam Deposition

Ion Beam Assisted Deposition (IBAD)

Thermal Evaporation)

Planar Magnetron Sputtering

Page 7: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 07

Supplies that meet your time, cost and quality expectations.

High Vacuum Process Systems

Advantages of DLC for

Infrared Optics

• High hardness

• Low Friction

• Electrical Insulation

• Resistance to Wear

• Chemical Inertness

• Good Thermal Conductivity

• Optical Transparency

• Biological Compatibility

Applications Optics DLC coating acts as a single-layer anti-reflection coating for high index substrates and it

provides wear & chemical resistance for optical elements used in harsh environments.

• Intlvac Thin Film DLC conforms to TS1888 Wiper Test and all relevant MIL-C-48497A tests.

Bio-engineering DLC provides advantages of bio-compatibility, wear resistance, and diffusion resistance.

Mechanical Engineering

DLC provides wear & corrosion resistance, low friction, and good thermal conductivity.

DLC on wide variety of substrates that include: IR Optics:

• Silicon, Germanium, Chalcogenides

Plastics: • Ultem, Polycarbonate, Polyimide, PEEK

Glass: • Crown Glass, UVFS, SF11, Sapphire

Metals: • Aluminium, Copper, High-carbon Steel

d a m o n d l k e c a r b o

PECVD DIAMOND LIKE CARBON

Page 8: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 08

Supplies that meet your time, cost and quality

Photolithography

Comprehensive coating (spin/spray), Developing, Etching and

Cleaning Systems.

EL 200 System consisting of:

Spinner Bowl

Input Terminal with 3.5” colour-touch screen with Windows CE up to

40 programmable recipes with 8 individual steps

3 different nozzles (Special Options)

Suitable for processes like:

Coating, Etching, Cleaning , Developing, Rinsing , Drying

QuickStep System consisting of:

Spinner System for Coating, Developing, or cleaning Applications.

Wafers up to 200mm and substrates up to 150 x 150mm

Bench mounted or stand alone modules

Unlimited Programmable recipe steps

Programmable in speed and position

Prepared for up to 6 media lines / nozzles

New BCB Coating and Baking System

Enhanced system to ensure a more stable and controlled BCB coating

with a following bake process step.

This new technology enables tighter process control. The bake step is

optimized to make sure the oxygen inside the bake environment is re-

placed very quickly by nitrogen.

Page 9: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 09

Supplies that meet your time, cost and quality expectations.

Photolithography (Cont)

The Microcluster series are designed for clean, reliable, high vol-ume throughput. The Microcluster is also a cost effective photo-lithographic processing tool with outstanding flexibility for different materials and processes. Modules include HMDS vapour prime, photoresist deposition, photoresist development, soft bake, hard bake, cool plate, smart EBR, wafer flipper, ergonomic wafer load and unload modules. The configuration can handle wafers from 50 mm up to 200 mm or substrates from 2” x 2” to 6” x 6”.

The QS-W series Single Wafer Cleaners are stand-alone tools with horizontal wafer processing for 50mm up to 300 mm wafers or substrates up to 9” x 9” featuring simultaneous treatment of top and bottom side of wafers

Automatic or manual handling

For higher throughput and clustered processing, the Multi-Cap Single Wafer Wet Processing System is featuring multi-ple process modules arranged in a horizontal configuration.

Clean - Develop - Etch - Strip - Lift-off

The SCM-750-DCH line serves for coating glass substrates used for the manufacture of OLEDS. A robot picks up the substrates from the carrier in the I/O Module and places them in the processing modules. The sequence of processing is defined in a flow recipe.

This System contains semi-automatic mod-ules which scale up to fully automated as-sembly groups.

Page 10: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 10

Supplies that meet your time, cost and quality expectations.

Wet Benches

Simple PP acid etch bench

Bulk Chemical Dispense, Portable Chemical Handling Equipment, Chemical Dosing, Feed and Bleed

Chemical Delivery

SS Solvent wet bench Semi-Auto Rotary Robot wet bench

Fully-Automated Linear Robotic wet bench Semi-Auto Rotary Robot wet bench

Fresh Chemical Dispense cart

Solvent Dispense with CO2 fire suppression

Wet processing stations and ancillaries for batch or single wafer substrates and wafers.

From simple manual though semi-automated to fully automated C2C systems.

Materials of construction; PP, FRPP, PVDF, CPVC and Stainless Steel

International Standards - SEMI-S2, UL , CE.

Internal Components Solvent Unit Bulk Delivery Units with Day Tanks

Waste Removal Cart Custom Blending System

Page 11: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 11

Supplies that meet your time, cost and quality expectations.

Megasonics

PROSYS Table Top System

Using high-frequency acoustic energy, our advanced megasonics technology enables

tighter control over substrate cleaning processes making them faster and more efficient.

Problems we solve include: FEOL and BEOL cleans

TSV process enhancement and residual glue removal

Thick resist develop such as SU-8™

Solar process enhancement

LIGA/MEMS process enhancements

Megasonic Tank Systems. Stainless Steel, Quartz or Kynar tanks with Direct mounted transducer arrays

MegPie Single Wafer Radial Megasonic MegBowl System Immersible Array

Various Custom Arrays

Page 12: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 12

Supplies that meet your time, cost and quality expectations.

High Purity & DI water heaters

Designed for the ultra pure demands of high purity industries, Process Technology offers a full line of deionized water heaters designed for your most demanding applications. Sizes range

from 3,000 watts up to 260,000 watts. The patented designs incorporate unique

features for superior thermal response. All DI water heaters are available in quartz or

fluoropolymer wetted parts for the most demanding high purity applications.

High purity heaters are assembled in an ISO5 (Class 100) cleanroom.

One of the most popular high purity water

heaters in the world, the Lufran boasts

proven technology for UPDI heating

applications and is suitable for the most

stringent high purity specifications. It is

constructed with all PTFE and PVDF wetted

parts, and its patented “purged” resistive

element design offers exceptional MTBF of

ten years.

The Tytan™ brand represents the safest

and most reliable deionized water heater

on the market. Featuring a compact, self-

contained heater and control package for

ultra-fast heat up and superior tempera-

ture stability regardless of application,

Tytan™ is available in sizes up to 144kW,

wall-mounted up to 72kW. installation is

fast and easy, and the TytanTM requires

virtually no maintenance.

Page 13: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 13

Supplies that meet your time, cost and quality expectations.

Chemical and Gas Heaters

Teflon In-line heater

Teflon Immersion heater

SH Gas/Solvent heater

HOTSHOT Quartz chemical Heater

High Temp Filter Hous-

ing

SmartOne® PTC Electric

Immersion Heaters

In-Line Fluoropolymer Heat

Exchanger

Inline chemical heaters are used in wet

process manufacturing applications where

Inline heating is preferred over immersion

heating methods.

Process Technology inline heaters are manufac-

tured in fluoropolymer and high purity quartz

materials with sizes up to 18,000 watts. A large

variety of standard and custom configurations

are available. Applications include temperatures

up to 180 degrees centigrade.

Process Technology electric immersion heaters

are designed for a spectrum of industrial applica-

tions - from water to the demands of corrosive

chemical heating, with a vast array of configura-

tions available such as open tank, screw plug and

flange. A wide variety of sheath materials ensure

heater longevity regardless of the chemistry,

including: fluoropolymer, quartz, titanium, 316

stainless steel, 304 stainless steel and plain steel.

Immersion heater sizes range from as small as

100 watts for laboratory environments to as large

as 54,000 watts (flange heaters up to 270,000

watts) for industrial applications.

Page 14: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 14

Supplies that meet your time, cost and quality expectations.

Thermal Process

RCH Associates specializes in new LPCVD/Diffusion sys-

tems as well as replacement OEM gas systems (Thermco,

Bruce, Tempress, ACS, etc.) fully retrofittable into ex-

isting or remanufactured source cabinets.

RCH’s portfolio of products and capabilities extends from

basic Bench Top Furnaces or Research Grade systems to

high production, full capability horizontal stacks

Horizontal Diffusion Furnaces

The ASTRA CONTROL SYS-

TEM provides Ethernet-

linked, integrated controls

with touch screen graphical

simplicity.

Furnace Elements and Insulation Products

Electric Furnace Systems which operate in Air or Inert

Gas to 3000 F.

Heating Chambers for :

Wafer Processing Furnaces, Muffle Furnaces and In-dustrial Processing

Ceramic Vacuum Formed Fibrous Components in-cluding:

Heating Elements and Custom Shapes

High Temperature Gaskets & Seals for door jams, pillows etc.

Vestibule Blocks

Foundry Service: We offer a complete line of heating and insulation products

Vertical Furnace Element

Torch Element

Replacement Furnace Elements for TEL, ASM, SVG THERMCO, KOKUSAI, TEMPRESS and Others

Page 15: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 15

Supplies that meet your time, cost and quality expectations.

Thermal Process

Solaris Rapid Thermal Annealing Systems 100/150/200mm Atmospheric RTA Systems

Applications Include:

-Pre Gate Oxidation Cleaning

-Pre-Epi Cleaning

-Photoresist Descum -Growth of Sacrificial Oxides

-Bond Pad Cleaning

-Cleaning of Critical Surfaces

-Hydrocarbon Removal

-High Temperature UV/Ozone Densification

-Hardened Resist Etch Rates>25-50 A/min at room temperature

The Solaris 150UV is an added option for the Solaris RTP System.

Solaris Rapid Thermal

Processes

Ion Implant Activation

Polysilicon Annealing

BPSG/PSG Reflow

Silicide Formation

Ti/Au Nanotube Ohmic Contact Formation

Contact Alloying

Oxidation and Nitridation

III-V Processing

GaAs and III-V Ohmic Alloying

Dual Side Heating Reduces within Die Non-Uniformities

Page 16: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 16

Supplies that meet your time, cost and quality expectations.

Thermal Process

Vacuum and Convection Ovens

Fortrend-YES have partnered to develop the SIO-300-450 series of High Temperature, Air Cooled, Controlled Process Gas Composition, Laminar Cross Flow, Vertical Vacuum Ovens— the result of many years experience in the design of low particle and Oxygen Concentration systems.

The SIO-450-250 system incorporates the 450mm version of a state-of-the-art thermal curing chamber developed by Fortrend. The SIO-450-250 is offered for either vertical or horizontal wafer orientation to meet the process requirements. The system can have either one curing chamber with two FOUP’s or two chambers with Four FOUP’s to double the through put at lower cost.

The SunRay FLO-10C-12W Thermal Chamber is a high performance ISO Class 4 (Federal class-10) Cleanliness oven for wafers up to 300mm and temperatures up to 250C . It is highly reliable and easy to operate. It offers both horizontal and vertical cassettes ideal for applications such as;

Moisture removal Re-flow Single layer thermal processing

With its proprietary multi-zone coordinated heating control tech-nology, the temperature uniformity is better than ± 3.5°C under wide operation temperature with gas consumption programmable from 0 to 50 litre per minutes. Its superior ISO Class 4 cleanness is suitable for baking processes of semiconductor wafers, MEMS, LED and touch panels.

Page 17: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 16

Supplies that meet your time, cost and quality expectations.

Thermocouples RTD’s and Sensors

SiSTEM Technology Ltd 17

Profile and Control Spike Thermocouples, RTD’s

Insulated Wire thermocouples, Custom Designs

FOUR & FIVE JUNCTION PROFILE THERMOCOUPLE

FOUR & FIVE JUNCTION PROFILE THERMOCOUPLES QUARTZ & SIC SHEATHS

MULTI-POINT TYPE S THERMOCOU-PLE INCONEL SHEATH

CUSTOM DESIGNS PROFILE THERMOCOUPLE

“TRADITIONAL” TRI -JUNCTION PRO-FILE THERMOCOUPLE

SINGLE JUNCTION CONTROL SPIKE THERMOCOUPLE

TYPE K CONTROLSPIKE THERMOCOU-PLE

RTD’s TEFLON COATED FOR ACID BATHS

RTP PLATINUM BAYONET STYLE

ASM SUSCEPTOR THERMOCOUPLES

Programs we offer

Calibration & Repair: Evaluation of Thermocouple with an as-received calibration report.

Refurbish (special cases): Applicable to designs with longer platinum wire leads than the chamber probe end

Reclaim Service: One-stop service; instant credit with no refining charges for the precious metal profile and spike thermocouples.

Page 18: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 18

Supplies that meet your time, cost and quality expectations.

Probe and Test

Cantilever Probe Cards

Probe Cleaning Materials

Test Socket Cleaning Materials

Chuck Cleaning Wafer

“On-Line” Test Socket Cleaning for

Increased Yield with Reduced Rescreen

Compliant Edge Ring Wafer Handler Arm

Compliant Surface Eject Features for ESC Chuck

Compliant Spoke Features Pin Chuck and Handler Arm

Probe Clean

Probe Polish

Probe Scrub

Probe Lap

Probe Form From flat contaminated tips To Cleaned and re-shaped tips

Engineered Cleaning Solutions for Wafer Handling Tools and Wafer Chuck

Epoxy : Mainstream Applications and fine pitch down to 44

μm. Multi-die Testing. Fine pitch Shelf cards.

Blade: Hybrid devices testing, special applications.

Parametric Technology: Parametric testing, low leakage

and ultra low leakage down to 1 FemtoAmp/Volt for Keithley/

Agilent and others.

Page 19: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 19

Supplies that meet your time, cost and quality expectations.

Vacuum Traps

High Capacity, High Efficiency, Vacuum Inlet Trap

Removes corrosive and abrasive particles that can destroy your vacuum pump

Extends Pumping System Preventative Maintenance periods by up to 2 times or more.

Common Applications are; LPCVD, PECVD, METAL ETCH, MOCVD, ION IMPLANT, HVPE,

MV Multi-Trap®

MV Posi-Trap® Foreline Trap Ensures positive flow with no “blow-by”

All stainless steel construction

Straight-thru and right-angle styles offered

Wide variety of filter elements to choose from

Midi-Mist™ & Maxi-Mist™ Stainless steel construction resists corrosion

Protects environment from vacuum pump exhaust

Drain plug for recovering pump fluids

Simple disassembly and cleaning

High-capacity coalescing filters drain

oil into a large reservoir for easy recovery

Designed for large capacity vacuum pumps

VisiTrap® Visi®Flow Vacuum Inlet trap with transparent Sump

Select inserts for trapping particles, water, oil, or corrosive chemicals

Transparent sump shows when to change insert with-out removing from vacuum system

A compact, portable,

self-contained system

for continuously filter-

ing mechanical vacuum

pump fluid

Page 20: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 20

Supplies that meet your time, cost and quality expectations.

Handling and Automation

Mass Wafer Transfer

Systems .

PLM-C1™, Pod Lift Mechanism

PLUS 500R Pod Load/

Unload System

Four cassette transfer robot

types -> flexible combinations

450mm FOUP Opener, FO-4500

Fortrend PLM-200 EUV SMIF Pod Opener

Fortrend PIS 200 EUV Dual Pod Open-

er & Inner Box

Page 21: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 21

Supplies that meet your time, cost and quality expectations.

Handling and Automation

Reticle Storage Systems

InforTrac® Hardware Solutions for Lot ID Tracking System

LED Sorters and EFEMs

50mm – 200mm Open Cassette Wafers

The LED Sorter is based on Fortrend’s success-

ful200 and 300mm wafer sorters used in the

Semi-conductor FABS.

Lamina-203 – Three load port

configuration

Fortrend’s eRack system

Three Protocols are availa-ble for all InforTrac® RFID Reader/Writer (4) SEMI SECS-II (5) ASYST TAG Messages (6) Custom messages

Reader RFT-200Ox-LCD

Page 22: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd 22

Supplies that meet your time, cost and quality expectations.

Photovoltaics

Automated PV Cell Load/Unload Transfer System for Tray TLS6030

For tray type PV carriers. This

improved version offers back side

contact only and PV cell transfers at

the lowest cost of ownership to OEM

customers. It is also equipped with

advanced features like Fortrend

proprietary on-the-fly cell breakage

inspection system, broken cell

recovery robot, empty cassette

buffers, tray preheat cycles and

manual tray load/unload port, and

flexible tool interfaces for PECVD and

RIE tool makers.

Ion implantation is a technique that has been demonstrated to

improve solar cell efficiency and eliminate process steps in

standard and advanced cell designs. Fortrend has developed a

high efficiency PV cell load/unload transfer system, RCT-0602,

for PV ion implanters. This system transfers 6 cells in a batch to

vacuum chamber from a single cassette and returns the cells

back automatically. It is also equipped with advanced features

like Fortrend proprietary on-the-fly cell breakage inspection

system, broken cell recovery robot, and SCARA robot for

transferring cells in and out of vacuum chamber.

Automated PV Cell Load/Unload Transfer

System for Ion Implantation System

RCT– 0602

Page 23: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd

www.sistemtechnology.com

Supplying Capital equipment to the

high technology sector since 2004

Page 24: Equipment Solutions - Sistem Technology · PDF fileSiSTEM Technology has attended at many of the UK and Ireland exhi- ... • IBS Deposition • Sputter Deposition • In-situ Camera

SiSTEM Technology Ltd

www.sistemtechnology.com

Sales Office : Grafton Suite

Caswell Science & Technology Park Towcester Northants

NN12 8EQ UK Tel: +44 1327 317621

Registered Office : Grafton Suite

Caswell Science & Technology Park Towcester Northants

NN12 8EQ UK Tel +44 (0)1327 317624