+main... · 2020-05-14 · author: peter,allwin21 corp. created date: 11/17/2015 8:20:45 pm

35
Branson/IPC 3000 Software Key Features Branson/IPC 3000 Specifications * Wafer Size: Sample to 200mm Capability. Multiple wafer size without hardware change Throughput: High Throughput. Up to 75 WPH. Process Dependent . Temperature: No heating function. N2 plasma can heat the substrate up to 170 C. Gas Lines: Up to 5 gas lines with MFCs. Popular MFC Range: 2 SLM O2 and 1 SLM N2 . Asher Rate: 0-0.1u/min. positive PR; >0.2u/min. negative PR Uniformity: 25%. Clear all photoresist without uniformity requirement Particulate: <0.05 /cm2 (0.03um or greater) Damage: Low damage with Faraday Cage if necessary. Selectivity: >1000:1 MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better. 95% uptime * Contact Allwin21 sales for other applications and specifications Main Menu Screen Tel.: 408-778-7788 E-mail: [email protected] Website: www.allwin21.com All specification and information here are subject to change without notice and cannot be used for purchase and facility plan. (2 of 2) Address:220 Cochrane Circle, Morgan Hill,CA95037, U.S.A. Company Introduction and Main Products ALLLWIN21 CORP. Introduction Equipment Key Features Allwin21 Corp. is the exclusive licensed manufacturer of AG Associates Heatpulse 610 Rapid Thermal Process tool. We are manufacturing the new AccuThermo AW Series Atmospheric and Vacuum Rapid Thermal Processors. Compared with traditional RTP systems, Allwin21’s AccuThermo AW RTPs have innovative software and more advanced real time temperature control technologies to achieve the BEST rapid thermal processing performance (repeatability, uniformity, and stability) with decades of research directly applicable to ours. We focus on extending product lifecycle, providing solutions, and engineering enhancements to many production proven semiconductor process equipment most directly related to III-V processing. These semiconductor equipment have been used in production and R&D since the 1990′s. They have proven processes and research. Allwin21 Corp. can customize these systems with Allwin21′s comparable integrated process control system with PC, solid robotic wafer transfer system, and new critical components. This is to achieve the goal of giving our customers a production edge, with right cost, and without having to worry about obsolete parts. Allwin21 Corp. was formed in 2000 with a focus on professionally providing Rapid Thermal Process, Plasma Asher Strip / Descum, Plasma Etch/RIE, Sputter Deposition and Metal Film Metrology high-tech semiconductor equipment, services and technical support in Semiconductor III-V, MEMS, Biomedical, Nanotechnology, Solar, Battery & LED industries. We endeavor to be a leader in our product lines. To achieve this, we have been providing unique innovative and cost-effective technical solutions, high quality equipment, and on time spare parts delivery worldwide. We have maintained a global presence that has grown and expanded into the major high-tech manufacturing areas of the world. We pride ourselves on developing and continuing lasting customer relationships. We understand that a timely responsive support and service are critical elements in semiconductor industries. Allwin21’s experienced engineer team is the best guarantee for high quality service and support. We provide on-site installation, training, maintenance, system optimization, retrofits, and/or customized upgrades E-mail: [email protected] Website: www.allwin21.com Allwin21 Overview What sets us apart from the competition… 1) Exclusive licensed manufacturer of Heatpulse 610 of AG Associates. 2) Advanced Allwin21 Real Time PC Control Technology. 3) Focus on Production-Proven process technology. 4) Integrated 3-axis solid robotic wafer transfer technology. 5) Experienced local engineer support. 6) Products made in U.S.A Allwin21 Products 1) Rapid Thermal Process AccuThermo AW 410 AccuThermo AW 610 AccuThermo AW 810 AccuThermo AW 820 AccuThermo AW 610V AccuThermo AW 820V 2) Sputter Deposition Perkin-Elmer 44XX Series AccuSputter AW 4450 3) Plasma Asher Descum AW-105R AW-1008 AW-B3000 4) Plasma Etch/RIE AW-901eR AW-903eR AW-2001R 5) Upgraded Kit for: Heatpulse 210 Heatpulse 410 Heatpulse 610 Matrix X0X Tegal 90Xe Gasonics Aura 1000/3000/3010 Gasonics AE 2001 Gasonics L3510 Branson/IPC X000 Lam AutoEtch X90 6) Sheet Resistance Measurement AWgage-150 AWgage-200 Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Matrix 105, Matrix 205, Matrix 303, Matrix 403,Matrix 106,Matrix 104, Matrix 102,Matrix 101, Matrix 10 Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Branson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000, Barrel Asher, Barrel Etch, Barrel Etcher Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Gasonics Aura 1000, Gasonics Aura 2000, Gasonics Aura 3000, Gasonics L3510, Gasonics Aura 3010 Plasma Etcher, Please Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Tegal 901e, Tegal 903e, Tegal 901e TTW, Tegal 915 Plasma Etcher, Please Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Gasonics AE 2001, Microwave Etcher, Microwave Plasma Etcher, Microwave Etch Rapid Thermal Process, Rapid Thermal Processing, Rapid Thermal Anneal, Rapid Thermal Annealing, Rapid Thermal Oxidation, Rapid Thermal Nitride, RTA, RTP, RTO, RTN,, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, AG210, AG310, AG 410, AG610, AG 610I, AG Associates, Heatpulse 210, Heatpulse 410, Minipulse 310, Heatpulse 610, Heatpulse 610I, AG Heatpulse 410, AG Heatpulse 610, AG Heatpulse 210, AG Minipulse 310, Heatpulse 4100, Heatpulse 4108, Heatpulse 8108, Heatpulse 8800, Atmospheric Rapid Thermal Process, Vacuum Rapid Thermal Process, Furnace, Oven, Thermal Furnace, Thermal Process, Thermal Processing

Upload: others

Post on 26-May-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Branson/IPC 3000 Software Key Features

Branson/IPC 3000 Specifications* Wafer Size: Sample to 200mm Capability. Multiple wafer size without

hardware change Throughput: High Throughput. Up to 75 WPH. Process Dependent . Temperature: No heating function. N2 plasma can heat the substrate up

to 170 C. Gas Lines: Up to 5 gas lines with MFCs. Popular MFC Range: 2 SLM O2

and 1 SLM N2 . Asher Rate: 0-0.1u/min. positive PR; >0.2u/min. negative PR Uniformity: 25%. Clear all photoresist without uniformity requirement Particulate: <0.05 /cm2 (0.03um or greater) Damage: Low damage with Faraday Cage if necessary. Selectivity: >1000:1 MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better. 95%

uptime * Contact Allwin21 sales for other applications and specifications

Main Menu Screen

Tel.: 408-778-7788 E-mail: [email protected] Website: www.allwin21.comAll specification and information here are subject to change without notice and cannot be used for purchase and facility plan. (2 of 2) Address:220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Company Introduction and Main Products

ALLLWIN21 CORP.

Introduction

Equipment Key Features

Allwin21 Corp. is the exclusive licensed manufacturer of AGAssociates Heatpulse 610 Rapid Thermal Process tool. We are manufacturing the new AccuThermo AW Series Atmospheric and Vacuum Rapid Thermal Processors. Compared with traditional RTP systems, Allwin21’s AccuThermo AW RTPs have innovative software and more advanced real time temperature control technologies to achieve the BEST rapid thermal processing performance (repeatability, uniformity, and stability) with decades of research directly applicable to ours. We focus on extending product lifecycle, providing solutions, and engineering enhancements to many production proven semiconductor process equipment most directly related to III-V processing. These semiconductor equipment have been used in production and R&D since the 1990′s. They have proven processes and research. Allwin21 Corp. can customize these systems with Allwin21′s comparable integrated process control system with PC, solid robotic wafer transfer system, and new critical components. This is to achieve the goal of giving our customers a production edge, with right cost, and without having to worry about obsolete parts. Allwin21 Corp. was formed in 2000 with a focus on professionally providing Rapid Thermal Process, Plasma Asher Strip / Descum, Plasma Etch/RIE, Sputter Deposition and Metal Film Metrology high-tech semiconductor equipment, services and technical support in Semiconductor III-V, MEMS, Biomedical, Nanotechnology, Solar, Battery & LED industries. We endeavor to be a leader in our product lines. To achieve this, we have been providing unique innovative and cost-effective technical solutions, high quality equipment, and on time spare parts delivery worldwide. We have maintained a global presence that has grown and expanded into the major high-tech manufacturing areas of the world. We pride ourselves on developing and continuing lasting customer relationships. We understand that a timely responsive support and service are criticalelements in semiconductor industries. Allwin21’s experienced engineer team is the best guarantee for high quality service and support. We provide on-site installation, training, maintenance, system optimization, retrofits, and/or customized upgrades

E-mail: [email protected] Website: www.allwin21.com

Allwin21 Overview

What sets us apart from the competition…

1) Exclusive licensed manufacturer of Heatpulse 610 of AG Associates.2) Advanced Allwin21 Real Time PC Control Technology.3) Focus on Production-Proven process technology.4) Integrated 3-axis solid robotic wafer transfer technology.5) Experienced local engineer support.6) Products made in U.S.A

Allwin21 Products 1) Rapid Thermal Process

• AccuThermo AW 410• AccuThermo AW 610• AccuThermo AW 810• AccuThermo AW 820• AccuThermo AW 610V• AccuThermo AW 820V

2) Sputter Deposition• Perkin-Elmer 44XX Series• AccuSputter AW 4450

3) Plasma Asher Descum• AW-105R• AW-1008• AW-B3000

4) Plasma Etch/RIE• AW-901eR• AW-903eR• AW-2001R

5) Upgraded Kit for:• Heatpulse 210• Heatpulse 410• Heatpulse 610• Matrix X0X• Tegal 90Xe• Gasonics Aura 1000/3000/3010• Gasonics AE 2001• Gasonics L3510• Branson/IPC X000• Lam AutoEtch X90

6) Sheet Resistance Measurement• AWgage-150• AWgage-200

Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Matrix 105, Matrix 205, Matrix 303, Matrix 403,Matrix 106,Matrix 104, Matrix 102,Matrix 101, Matrix 10

Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Branson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000, Barrel Asher, Barrel Etch, Barrel Etcher

Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Gasonics Aura 1000, Gasonics Aura 2000, Gasonics Aura 3000, Gasonics L3510, Gasonics Aura 3010

Plasma Etcher, Please Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Tegal 901e, Tegal 903e, Tegal 901e TTW, Tegal 915

Plasma Etcher, Please Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Gasonics AE 2001, Microwave Etcher, Microwave Plasma Etcher, Microwave Etch

Rapid Thermal Process, Rapid Thermal Processing, Rapid Thermal Anneal, Rapid Thermal Annealing, Rapid Thermal Oxidation, Rapid Thermal Nitride, RTA, RTP, RTO, RTN,, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, AG210, AG310, AG 410, AG610, AG 610I, AG Associates, Heatpulse 210, Heatpulse 410, Minipulse 310, Heatpulse 610, Heatpulse 610I, AG Heatpulse 410, AG Heatpulse 610, AG Heatpulse 210, AG Minipulse 310, Heatpulse 4100, Heatpulse 4108, Heatpulse 8108, Heatpulse 8800, Atmospheric Rapid Thermal Process, Vacuum Rapid Thermal Process, Furnace, Oven, Thermal Furnace, Thermal Process, Thermal Processing

Page 2: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Rapid Thermal Process

ALLLWIN21 CORP.

AccuThermo AW 410

Introduction The AccuThermo AW410 was derived from the AG Associates 610 production-proven design. Allwin21 Corp. is the exclusive manufacturer of the AG Associates Heatpulse 610 desktop atmospheric RTP (Rapid Thermal Processing) system. The system uses high intensity visible radiation to heat single wafer for short process periods of time at precisely controlled temperatures. The process periods are typically 1-600 seconds in duration, although periods of up to 9999 seconds can be selected. These capabilities, combined with the heating chamber's cold-wall design and superior heating uniformity, provide significant advantages over conventional furnace processing.

AccuThermo AW 410 Key Features

35 years’ production-proven real RTP/RTA/RTO/RTN system. Scattered IR light by special gold plated Al chamber surface. Allwin21 advanced Software package with real time control technologies and many useful functions. Consistent wafer-to-wafer process cycle repeatability. Top and bottom High-intensity visible radiation Tungsten halogen lamp heating for fast heating rates with good repeatability performance and long lamp lifetime. Cooling N2 (Or CDA) flows around the lamps and quartz isolation tube for fast cooling rates Elimination of external contamination by Isolated Quartz Tube Up to six gas lines with MFCs and shut-off valves Energy efficient. Small Footprint. Made in U.S.A.

Gas Line(s) 1 2 to 4 5 to 6 Dimension(DXWXH) 17”x18”x11” 17”x26”x11” 17”x30”x11”

Chip manufacture Compound industry: GaAs,GaN,GaP,GaINP,InP,SiC, III-V,II-VI Optronics, Planar optical waveguides, Lasers Nanotechnology Biomedical Battery MEMS Solar LED

E-mail: [email protected] Website: www.allwin21.com

Introduction

Typical Applications (But not limited to)

Silicon-dielectric growth Implant annealing Glass reflow Silicides formation and

annealing

Contact alloying Nitridation of metals Oxygen-donor annihilation Other heat treatment

process

Typical Application Areas:

Rapid Thermal Process, Rapid Thermal Processing, Rapid Thermal Anneal, Rapid Thermal Annealing, Rapid Thermal Oxidation, Rapid Thermal Nitride, RTA, RTP, RTO, RTN,, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, AG210, AG310, AG 410, AG610, AG 610I, AG Associates, Heatpulse 210, Heatpulse 410, Minipulse 310, Heatpulse 610, Heatpulse 610I, AG Heatpulse 410, AG Heatpulse 610, AG Heatpulse 210, AG Minipulse 310, Heatpulse 4100, Heatpulse 4108, Heatpulse 8108, Heatpulse 8800, Atmospheric Rapid Thermal Process, Vacuum Rapid Thermal Process, Furnace, Oven, Thermal Furnace, Thermal Process, Thermal Processing

Page 3: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Rapid Thermal Process

ALLLWIN21 CORP.

AccuThermo AW 410 Software Key Features o Integrated process control system o Real time graphics display o Real time process data acquisition, display, and analysis o Programmed comprehensive calibration and diagnostic functions o Closed-loop temperature control with temperature sensing. o Precise time-temperature profiles tailored to suit specific process

requirements. o Faster, easier Programmable comprehensive calibration of all

subsystems, leading to enhanced process results. o A recipe editor to create and edit recipes to fully automate the

processing of wafers inside the AccuThermo RTP o Validation of the recipe so improper control sequences will be

revealed. o Storage of multiple recipes, process data and calibration files so that

process and calibration results can be maintained and compared over time.

o Passwords provide security for the system, recipe editing, diagnostics, calibration and setup functions.

o Simple and easy to use menu screen which allow a process cycle to be easily defined and executed.

o Troubleshooting feature which allows engineers and service personnel to activate individual subassemblies and functions. More I/O, AD/DA “exposure”.

o Use PowerSum technology to detect the process and increase Yield. o Watchdog function: If this board looses communication with the control

software, it will shut down all processes and halt the system until communication is restored.

o GEM/SECS II function (Optional).

AccuThermo AW 410 Specifications

Wafer sizes: Small pieces, 2", 3", 4" wafer capability Recommended ramp up rate: Programmable, 10°C to 120°C per

second. Maximum Rate: 200°C (NOT RECOMMENDED) Recommended steady state duration: 0-300 seconds per step. Ramp down rate: Non-programmable, 10°C to 200°C per second. Recommended steady state temperature range: 150°C - 1150°C.

Maximum 1250°C (NOT RECOMMENDED) ERP Pyrometer 450-1250°C with ±1°C accuracy when calibrated against

an instrumented thermocouple wafer. Thermocouple 100-800±0.5°C with ±0.5°C accuracy & rapid response. Temperature repeatability: ±0.5°C or better at 1150°C wafer-to-wafer.

(Repetition specifications are based on a 100-wafer set.) Temperature uniformity: ±5°C across a 6" (150 mm) wafer at 1150°C.

(This is a one sigma deviation 100 angstrom oxide.) For a titanium silicide process, no more than 4% increase in non-uniformity during the first anneal at 650°C to 700°C.

Process/Purge gas inputs: Any inert and/or non-toxic gas regulated to 30 PSIG and pre-filtered to 1 micron. Typically, N2, O2, Ar, He, Forming gas, NH3, N2O2 are used.

AccuThermo AW 410 Configuration

AccuThermo AW 410 Main Frame with wires. Power Type: Three Phase, worldwide power type(50/60 Hz) CE Mark if Necessary Pentium® class computer with a 17-inch LCD monitor and

Allwin21 Corp proprietary software package. Mouse and standard keyboard . Aluminum oven chamber with water cooling passages and gold

plating plates.. Door plate with one TC connection port. Isolated Quartz Tube W/O Pyrometer window or with Pyrometer

Window. Oven control board and one main control board. Bottom and top heating with 17 (1.5KW ea) Radiation heating

lamp module with 4 bank zones (Top Front&Rear, Bottom Front&Rear).

Quartz Tray for 2 to 4 inch round wafer or customized. Gas line with one Gas MFC without shut-off valve T-Shaped Quartz with qualified K Type TC and one set holder for

100-800°C temperature measurement. Package of 5 pieces of thermocouple wires as spare TC USB with original Software backup.

Options: Multiple Process Gases (Up to 6) and MFCs with Extended Gas

Box and Gas Control Board Carrier or Susceptor for small sample, transparent substrate and

substrate with metal thin film on top. Patented ERP Pyrometer (400-1250°C) as non-contact high

temperature sensor. Chiller for ERP Pyrometer 2-inch, 4-inch TC Wafer, Single Point for Pyrometer calibration Omega Meter for Pyrometer and Thermocouple calibration Shut-off valve for Quartz Tube&Lamps cooling control Spare Parts

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

Page 4: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Rapid Thermal Process

ALLLWIN21 CORP.

AccuThermo AW 610

Introduction

The AccuThermo AW610 was derived from the AG Associates 610 production-proven design. Allwin21 Corp. is the exclusive manufacturer of the AG Associates Heatpulse 610 desktop atmospheric RTP (Rapid Thermal Processing) system. The system uses high intensity visible radiation to heat single wafer for short process periods of time at precisely controlled temperatures. The process periods are typically 1-600 seconds in duration, although periods of up to 9999 seconds can be selected. These capabilities, combined with the heating chamber's cold-wall design and superior heating uniformity, provide significant advantages over conventional furnace processing.

AccuThermo AW 610 Key Features

35 years’ production-proven Real RTP/RTA/RTO/RTN system. Scattered IR light by special gold plated Al chamber surface. Allwin21 advanced Software package with real time control technologies and many useful functions. Consistent wafer-to-wafer process cycle repeatability. Top and bottom High-intensity visible radiation Tungsten halogen lamp heating for fast heating rates with good repeatability performance and long lamp lifetime. Cooling N2 (Or CDA) flows around the lamps and quartz isolation tube for fast cooling rates Elimination of external contamination by Isolated Quartz Tube Up to six gas lines with MFCs and shut-off valves Energy efficient. Made in U.S.A. Small footprint

Gas Line(s) 1 2 to 4 5 to 6 Dimension(DXWXH) 17”x18”x11” 17”x26”x11” 17”x30”x11”

Chip manufacture Compound industry: GaAs,GaN,GaP,GaINP,InP,SiC, III-V,II-VI Optronics, Planar optical waveguides, Lasers Nanotechnology Biomedical Battery MEMS Solar LED

E-mail: [email protected] Website: www.allwin21.com

Introduction

Typical Applications (But not limited to)

Silicon-dielectric growth Implant annealing Glass reflow Silicides formation and

annealing

Contact alloying Nitridation of metals Oxygen-donor annihilation Other heat treatment

process

Typical Application Areas:

Page 5: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Rapid Thermal Process

ALLLWIN21 CORP. AccuThermo AW 610 Software Key Features

o Integrated process control systemo Real time graphics displayo Real time process data acquisition, display, and analysiso Programmed comprehensive calibration and diagnostic functionso Closed-loop temperature control with temperature sensing.o Precise time-temperature profiles tailored to suit specific process

requirements.o Faster, easier Programmable comprehensive calibration of all

subsystems, leading to enhanced process results.o A recipe editor to create and edit recipes to fully automate the

processing of wafers inside the AccuThermo RTPo Validation of the recipe so improper control sequences will be

revealed.o Storage of multiple recipes, process data and calibration files so that

process and calibration results can be maintained and compared overtime.

o Passwords provide security for the system, recipe editing, diagnostics,calibration and setup functions.

o Simple and easy to use menu screen which allow a process cycle to be easily defined and executed.

o Troubleshooting feature which allows engineers and service personnelto activate individual subassemblies and functions. More I/O, AD/DA“exposure”.

o Use PowerSum technology to detect the process and increase Yield.o Watchdog function: If this board looses communication with the control

software, it will shut down all processes and halt the system untilcommunication is restored.

o GEM/SECS II function (Optional).

AccuThermo AW 610 Specifications

Wafer sizes: Small pieces, 2", 3", 4", 5", 6" wafer capability Recommended ramp up rate: Programmable, 10°C to 120°C per

second. Maximum Rate: 200°C (NOT RECOMMENDED) Recommended steady state duration: 0-300 seconds per step. Ramp down rate: Non-programmable, 10°C to 200°C per second. Recommended steady state temperature range: 150°C - 1150°C.

Maximum 1250°C (NOT RECOMMENDED) ERP Pyrometer 450-1250°C with ±1°C accuracy when calibrated against

an instrumented thermocouple wafer. Thermocouple 100-800°C with ±0.5°C accuracy & rapid response. Temperature repeatability: ±0.5°C or better at 1150°C wafer-to-wafer.

(Repetition specifications are based on a 100-wafer set.) Temperature uniformity: ±5°C across a 6" (150 mm) wafer at 1150°C.

(This is a one sigma deviation 100 angstrom oxide.) For a titaniumsilicide process, no more than 4% increase in non-uniformity during thefirst anneal at 650°C to 700°C.

Process/Purge gas inputs: Any inert and/or non-toxic gas regulated to 30PSIG and pre-filtered to 1 micron. Typically, N2, O2, Ar, He, forming gas,NH3, N2O2 are used.

AccuThermo AW 610 Configuration

AccuThermo AW 610 Main Frame with wires. Power Type: Three Phase, worldwide power (50/60 Hz) CE Mark if Necessary Pentium® class computer with a 17-inch LCD monitor and Allwin21 Corp proprietary software package. Mouse and standard keyboard. Aluminum oven chamber with water cooling passages and gold plating plates. Door plate with one TC connection port. Isolated Quartz Tube W/O Pyrometer window or with Pyrometer Window. Oven control board and one main control board. Bottom and top heating with 21 (1.2KW ea) Radiation heating lamp module with 4 bank zones (Top Front&Rear, Bottom Front&Rear). Quartz Tray for 4 to 6 inch round wafer or customized. Gas line with Gas MFC without shut-off valve. T-Shape Quartz with qualified K-Type TC and one set holder for 100-800°C temperature measurement. Package of 5 pieces of thermocouple wires as spare TC USB with original Software backup.

Options: Multiple Process Gases (Up to 6) and MFCs with Extended Gas

Box and Gas Control Board Carrier or Susceptor for small sample, transparent substrate and

substrate with metal thin film on top. Patented ERP Pyrometer (400-1250°C) as non-contact high

temperature sensor. Chiller for ERP Pyrometer 2-inch, 4-inch, 6-inch TC Wafer, Single Point for Pyrometer

calibration Omega Meter for Pyrometer and Thermocouple calibration Shutt-off valve for Quartz Tube & Lamps cooling control Spare Parts

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

Page 6: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Rapid Thermal Process

ALLLWIN21 CORP.

Rapid Thermal Process Introduction

E-mail: [email protected] Website: www.allwin21.com

Allwin21 Corp. is the exclusive licensed manufacturer of AG Associates Heatpulse 610 Rapid Thermal Processing equipment. Allwin21 is manufacturing the new AccuThermo AW Series Atmospheric Rapid Thermal Processors and Vacuum Rapid Thermal Processors. Compared with traditional RTP systems, Allwin21’s AccuThermo AW RTPs have innovative software and more advanced temperature control technologies to achieve the BEST rapid thermal processing performance (repeatability, uniformity, and stability) with decades of research directly applicable to ours.

For many years AG Associates was the dominant manufacturer of RTP systems. It was founded in 1981 and produced the first single wafer RTP system in 1982, the Heatpulse 210. In 1987, it produced the Heatpulse 610. These RTP systems run at atmospheric pressure and rely on a pre-process nitrogen or argon purge prior to wafer processing. They are still being used around the world in manufacturing, R&D and Universities. These RTP systems have a proven track record for reliability and simplicity.

Rapid thermal processing (or RTP) refers to a semiconductor manufacturing process which heats silicon wafers to high temperatures (up to 1250°C) on a timescale of several seconds or less. The wafer’s temperature must be brought down slow enough however, so they do not break due to thermal shock… Such rapid heating rates are attained by high intensity lamps process. These processes are used for a wide variety of applications in semiconductor manufacturing including dopant activation, thermal oxidation, metal reflow and chemical vapor deposition.

Rapid thermal anneal (RTA) is a process used in semiconductor device fabrication which consists of heating a single wafer at a time in order to affect its electrical properties. Unique heat treatments are designed for different effects. Wafers can be heated in order to activate dopants, change film-to-film or film-to-wafer substrate interfaces, densify deposited films, change states of grown films, repair damage from ion implantation, move dopants or drive dopants from one film into another or from a film into the wafer substrate. Rapid thermal anneals are performed by equipment that heats a single wafer at a time using lamp based heating that a wafer is brought near. Unlike furnace anneals they are short in duration, processing each wafer in several minutes. Rapid thermal anneal is a subset of processes called Rapid Thermal Process (RTP).

Rapid thermal processing (RTP) provides a way to rapidly heat wafers to an elevated temperature to perform relatively short processes, typically less than 1-2 minutes long. Over the years, RTP has become essential to the manufacture of advanced semiconductors, where it is used for oxidation, annealing, silicide formation and deposition.

An RTP system heats wafers singly, using radiant energy sources controlled by a pyrometer that measures the wafer’s temperature. Previous thermal processing was based on batch furnaces, where a large batch of wafers is heated in a tube. Batch furnaces are still widely used, but are more appropriate for relatively long processes of more than 10 minutes.

RTP is a flexible technology that provides fast heating and cooling to process temperatures of ~200-1250°C with ramp rates typically 20-200°C/sec, combined with excellent gas ambient control, allowing the creation of sophisticated multistage processes within one processing recipe. This capability to process at elevated temperatures for short time periods is crucial because advanced semiconductor fabrication requires thermal budget minimization to restrict dopant diffusion. Replacement of the slower batch processes with RTP also enables some device makers to greatly reduce manufacturing cycle time, an especially valuable benefit during yield ramps and where cycle-time minimization has economic value.

RTP systems use a variety of heating configurations, energy sources and temperature control methods. The most widespread approach involves heating the wafer using banks of tungsten-halogen lamps because these provide a convenient, efficient and fast-reacting thermal source that is easily controlled. In a typical RTP system , the wafer is heated by two banks of linear lamps — one above and one below it. The lamps are further subdivided into groups or zones that can be individually programmed with various powers to maximize temperature uniformity. In RTP, the energy sources face the wafer surfaces rather than heating its edge, as happens in a batch furnace. Thus, RTP systems can process large wafers without compromising process uniformity or ramp rates. RTP systems frequently incorporate the capability to rotate the wafer for better uniformity.

An important RTP application is the activation of ion-implanted dopants to form ultrashallow junctions. This requires fast ramp and cooling capabilities because the wafer must be heated to ~1050°C to anneal out ion implantation damage and activate the implanted dopant species. However, the time at temperature must be reduced to minimize diffusion. This has led to the spike-anneal approach, where the wafer is ramped to a high temperature and then cooled immediately.

Another indispensable RTP application is in the formation of silicides. In this process, metal films react with the silicon on source/drain and gate regions to form silicides. In advanced logic processes, the metal is usually cobalt, but nickel is being explored for the 65 nm node. Silicide formation processes are usually performed at <500°C, and wafers must be kept in a very pure gas ambient because metal films can be sensitive to oxidation. RTP systems are ideal, because they have small chamber volumes easily purged with high-purity gas, creating a very clean environment.

RTP is also increasingly important in oxidation applications, where the capability to use short process times at high temperatures and a wide variety of gas ambients provides excellent quality films and superior process control. RTP-grown oxides are often used for gate dielectrics, tunnel oxides and shallow-trench isolation liners. The use of steam in the gas ambient has opened new RTP applications. One of special interest for advanced DRAM technology is the use of a hydrogen-rich steam ambient for selective oxidation of gate stacks that include tungsten.

Some solar cell companies have successfully applied our advanced Rapid Thermal Processing (RTP) technology to its process for creating highly efficient and durable CIGS solar cells. This eliminates a key process bottleneck found in many state-of-the-art process implementations and enables the use of low-cost substrates in ways that were not considered possible before.

In Rapid Thermal Processing, a layer is heated for a very brief period only in a highly controlled way. For instance, RTP techniques can flash-heat a layer for just several picoseconds and put energy just into the top several nanometers of a layer in a highly controlled way — while leaving the rest of the layer unaffected.

RTP has a secondary benefit of reducing the energy payback time of their solar cells to less than two months (for the full panel). By comparison, a typical silicon solar panel has an energy payback time of around three years, and a typical vacuum-deposited thin-film cell has one of 1-2 years. The energy payback time is the time that a solar panel has to be used in order to generate the amount of energy that it required to be produced.

rapid thermal annealing, thermal processing ,annealing furnace ,thermal annealing ,heat treatment furnace ,chemical deposition ,thermal processing equipment ,annealing furnaces ,thermal annealing process ,process of annealing ,bright annealing furnace ,furnace annealing ,annealing equipment ,what is annealing used for, rapid thermal processing , thermal processing ,annealing furnace ,thermal annealing ,thermal processing equipment ,thermal annealing process, thermal processor , processor manufacturing process,RTA,RTP,RTO,RTN, AG 210, AG 310,AG 410,AG 610,AG 610I,AG210,AG410,AG610,AG610I,Heatpulse 210,Heatpulse 410,Heatpulse 610,Heatpulse 610I, AG Associates Heatpulse 210,AG Associates Heatpulse 610,AG ASSOCIATES 610I,Minipulse 310, Minipulse310, Heatpulse210,Heatpulse410,Heatpulse610, Heatpulse610I, AG Associates Heatpulse 4100, Heatpulse 8108,Heatpulse 4108, Heatpulse 8800, Rapid Thermal Process, Rapid Thermal Processing

Page 7: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

AccuThermo AW 610V

Introduction

The AccuThermo AW610V is desktop vacuum RTP (Rapid Thermal Processing) system, which uses high intensity visible radiation to heat single wafer for short process periods of time at precisely controlled temperatures. The process periods are typically 1-600 seconds in duration, although periods of up to 9999 seconds can be selected. These capabilities, combined with the heating chamber's cold-wall design and superior heating uniformity, provide significant advantages over conventional furnace processing.

AccuThermo AW 610V Key Features

Vacuum capability RTP/RTA/RTO/RTN system with Top Side

High-intensity visible radiation Tungsten halogen lamp heating

Aluminum oven chamber with water cooling passages

Scattered IR light by special gold plated Al chamber surface.

Allwin21 advanced Software package with real time control

technologies and many useful functions.

Cooling N2 (Or CDA) flows around the lamps and quartz isolation

tube for fast cooling rates

Up to 4 gas lines with 3 gas MFCs and shut-off valves

Energy efficient.

Small footprint: 31(D) X 34(W) X 16(H)

Made in U.S.A.

Chip manufacture

Compound industry: GaAs,GaN,GaP,GaINP,InP,SiC, III-V,II-VI

Optronics, Planar optical waveguides, Lasers

Nanotechnology

Biomedical

Battery

MEMS

Solar

LED

E-mail: [email protected] Website: www.allwin21.com

Introduction

Typical Applications (But not limited to)

Silicon-dielectric growth Implant annealing Glass reflow Silicides formation and

annealing

Contact alloying Nitridation of metals Oxygen-donor annihilation Other heat treatment

process

Typical Application Areas:

Rapid Thermal Process

ALLLWIN21 CORP.

Page 8: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

AccuThermo AW 610V Software Key Features o Integrated process control system.o Real time graphics display, process data acquisition, and analysis.o Programmed comprehensive calibration and diagnostic functionso Closed-loop temperature control with temperature sensing.o Precise time-temperature profiles tailored to suit specific process

requirements.o Faster, easier Programmable comprehensive calibration of all

subsystems, leading to enhanced process results.o A recipe editor to create and edit recipes to fully automate the

processing of wafers inside the AccuThermo RTPo Validation of the recipe so improper control sequences will be

revealed.o Storage of multiple recipes, process data and calibration files so that

process and calibration results can be maintained and compared overtime.

o Passwords provide security for the system, recipe editing, diagnostics,calibration and setup functions.

o Simple and easy to use menu screen which allow a process cycle to be easily defined and executed.

o Troubleshooting feature which allows engineers and service personnelto activate individual subassemblies and functions. More I/O, AD/DA“exposure”.

o Use PowerSum technology to detect the process and increase Yield.o Watchdog function: If this board looses communication with the control

software, it will shut down all processes and halt the system untilcommunication is restored.

o GEM/SECS II (Optional)

AccuThermo AW 610V Specifications

Wafer sizes: Small pieces, 2", 3", 4", 5", 6" wafer capability Vacuum Pressure: 50mTorr to 13 Torr or 13 Torr to 760 Torr Recommended ramp up rate: Programmable, 10°C to 100°C per

second. Maximum Rate: 150°C (NOT RECOMMENDED) Recommended steady state duration: 0-300 seconds per step. Ramp down rate: Non-programmable, 10°C to 150°C per second. Recommended steady state temperature range: 150°C - 1000°C.

Maximum 1050°C (NOT RECOMMENDED) ERP Pyrometer 450-1050°C with ±1°C accuracy when calibrated against

an instrumented thermocouple wafer. Thermocouple 100-800°C with ±0.5°C accuracy & rapid response. Temperature repeatability: ±0.5°C or better at 1050°C wafer-to-wafer.

(Repetition specifications are based on a 100-wafer set.) Temperature uniformity: ±10°C across a 6" (150 mm) wafer at 1050°C. Process/Purge gas inputs: Any inert and/or non-toxic gas regulated to 30

PSIG and pre-filtered to 1 micron. Typically, N2, O2, Ar, He, forming gas,NH3, N2O2 are used.

AccuThermo AW 610V Configuration AccuThermo AW 610V Main Frame with wires. Power Type: Three Phase, worldwide power (50/60 Hz) CE Mark if Necessary Pentium® class computer with a 17-inch LCD monitor and Allwin21 Corp proprietary software package. Mouse and standard keyboard. Aluminum oven chamber with water cooling passages. Door plate with one TC connection port. Top quartz window and heating module with 21 (1.2KW ea) Radiation lamps with 4 bank zones (Top I Front&Rear, Top II Front&Rear).. Oven control board and one main control board. Quartz Tray for 4 to 6 inch round wafer or customized. Two gas lines with Gas MFCs isolation shut-off valves. T-Shape Quartz with qualified K-Type TC and one holder- for 100-800°C temperature measurement. Package of 5 pieces of thermocouple wires as spare TC. USB with original Software backup. Main Vacuum Valve

Options: Atmospheric process function. Vacuum pressure measurement and control function Mechanical vacuum pump* Dry vacuum pump* Multiple Process Gases and MFCs (Up to 3) with Gas Control

Board if necessary. Carrier or Susceptor for small sample, Base only. Patented Pyrometer (400-1250°C) as non-contact high

temperature sensor. Chiller for Pyrometer 2-inch, 4-inch, 6-inch TC Wafer, Single Point for Pyrometer

calibration Omega Meter Thermocouple calibration Shutt-off valve for Quartz Tube&Lamps cooling control Spare Parts

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

Rapid Thermal Process

ALLLWIN21 CORP.

Rapid Thermal Process, Rapid Thermal Processing, Rapid Thermal Anneal, Rapid Thermal Annealing, Rapid Thermal Oxidation, Rapid Thermal Nitride, RTA, RTP, RTO, RTN,, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, AG210, AG310, AG 410, AG610, AG 610I, AG Associates, Heatpulse 210, Heatpulse 410, Minipulse 310, Heatpulse 610, Heatpulse 610I, AG Heatpulse 410, AG Heatpulse 610, AG Heatpulse 210, AG Minipulse 310, Heatpulse 4100, Heatpulse 4108, Heatpulse 8108, Heatpulse 8800, Atmospheric Rapid Thermal Process, Vacuum Rapid Thermal Process, Furnace, Oven, Thermal Furnace, Thermal Process, Thermal Processing

Page 9: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Rapid Thermal Process

ALLLWIN21 CORP.

AccuThermo AW 810

Introduction

The AccuThermo AW810 was derived from the AG Associates 610 production-proven design. Allwin21 Corp. is the exclusive manufacturer of the AG Associates Heatpulse 610 desktop atmospheric RTP (Rapid Thermal Processing) system. The system uses high intensity visible radiation to heat single wafer for short process periods of time at precisely controlled temperatures. The process periods are typically 1-600 seconds in duration, although periods of up to 9999 seconds can be selected. These capabilities, combined with the heating chamber's cold-wall design and superior heating uniformity, provide significant advantages over conventional furnace processing.

AccuThermo AW 810 Key Features

35 years’ production-proven Real RTP/RTA/RTO/RTN system. Scattered IR light by special gold plated Al chamber surface. Allwin21 advanced Software package with real time control technologies and many useful functions. Consistent wafer-to-wafer process cycle repeatability. Top and bottom High-intensity visible radiation Tungsten halogen lamp heating for fast heating rates with good repeatability performance and long lamp lifetime. Cooling N2 (Or CDA) flows around the lamps and quartz isolation tube for fast cooling rates Elimination of external contamination by Isolated Quartz Tube Up to four gas lines with MFCs and shut-off valves Energy efficiency Made in U.S.A. Small footprint 31(D) X 34(W) X 16(H)

Chip manufacture Compound industry: GaAs,GaN,GaP,GaINP,InP,SiC, III-V,II-VI Optronics, Planar optical waveguides, Lasers Nanotechnology Biomedical Battery MEMS Solar LED

E-mail: [email protected] Website: www.allwin21.com

Introduction

Typical Applications (But not limited to)

Silicon-dielectric growth Implant annealing Glass reflow Silicides formation and

annealing

Contact alloying Nitridation of metals Oxygen-donor annihilation Other heat treatment

process

Typical Application Areas:

Page 10: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Rapid Thermal Process

ALLLWIN21 CORP.

AccuThermo AW 810 Software Key Features o Integrated process control systemo Real time graphics displayo Real time process data acquisition, display, and analysiso Programmed comprehensive calibration and diagnostic functionso Closed-loop temperature control with temperature sensing.o Precise time-temperature profiles tailored to suit specific process

requirements.o Faster, easier Programmable comprehensive calibration of all

subsystems, leading to enhanced process results.o A recipe editor to create and edit recipes to fully automate the

processing of wafers inside the AccuThermo RTPo Validation of the recipe so improper control sequences will be

revealed.o Storage of multiple recipes, process data and calibration files so that

process and calibration results can be maintained and compared overtime.

o Passwords provide security for the system, recipe editing, diagnostics,calibration and setup functions.

o Simple and easy to use menu screen which allow a process cycle to be easily defined and executed.

o Troubleshooting feature which allows engineers and service personnelto activate individual subassemblies and functions. More I/O, AD/DA“expose”.

o Use PowerSum technology to detect the process and increase Yield.o Watchdog function: If this board looses communication with the control

software, it will shut down all processes and halt the system untilcommunication is restored.

o GEM/SECS II function (Optional).

AccuThermo AW 810 Specifications

Wafer sizes: Small pieces, 2", 3", 4", 5", 6", 8" wafer capability Recommended ramp up rate: Programmable, 10°C to 120°C per

second. Maximum Rate: 200°C (NOT RECOMMENDED) Recommended steady state duration: 0-300 seconds per step. Ramp down rate: Non-programmable, 10°C to 200°C per second. Recommended steady state temperature range: 150°C - 1150°C.

Maximum 1250°C (NOT RECOMMENDED) ERP Pyrometer 450-1250°C with ±1°C accuracy when calibrated against

an instrumented thermocouple wafer. Thermocouple 100-800°C with ±0.5°C accuracy & rapid response. Temperature repeatability: ±0.5°C or better at 1150°C wafer-to-wafer.

(Repetition specifications are based on a 100-wafer set.) Temperature uniformity: ±8°C across an 8" (200 mm) wafer at 1150°C.

(This is a one sigma deviation 100 angstrom oxide.) For a titaniumsilicide process, no more than 6% increase in non-uniformity during thefirst anneal at 650°C to 700°C.

Process/Purge gas inputs: Any inert and/or non-toxic gas regulated to 30PSIG and pre-filtered to 1 micron. Typically, N2, O2), Ar, He, forming gas,NH3, N2O2 are used.

AccuThermo AW 810 Configuration

AccuThermo AW 810 Main Frame with wires. Power Type: Three Phase, worldwide power (50/60 Hz) CE Mark if Necessary Pentium® class computer with a 17-inch LCD monitor and Allwin21 Corp proprietary software package. Mouse and standard keyboard. Aluminum oven chamber with water cooling passages and gold plating plates. Door plate with one TC connection port. Isolated Quartz Tube W/O Pyrometer window or with Pyrometer Window. Oven control board and one main control board. Bottom and top heating with 27 (1.2KW ea) Radiation heating lamp module with 4 bank zones (Top Front&Rear, Bottom Front&Rear). Quartz Tray for 5 to 8 inch round wafer or customized. Gas line with one Gas MFC with shut-off valve T-Shape Quartz with qualified K-Type TC and one set holder for 100-800°C temperature measurement. Package of 5 pieces of thermocouple wires as spare TC. USB with original Software backup.

Options: Multiple Process Gases (Up to 4) and MFCs with Gas Control

Board if necessary. Carrier or Susceptor for small sample, transparent substrate and

substrate with metal thin film on top. Patented ERP Pyrometer (400-1250°C) as non-contact high

temperature sensor. Chiller for ERP Pyrometer 2-inch, 4-inch, 6-inch, 8-inch (Not recommended) TC Wafer,

Single Point for Pyrometer calibration Omega Meter for Pyrometer and Thermocouple calibration Shutt-off valve for Quartz Tube & Lamps cooling control Spare Parts

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

Rapid Thermal Process, Rapid Thermal Processing, Rapid Thermal Anneal, Rapid Thermal Annealing, Rapid Thermal Oxidation, Rapid Thermal Nitride, RTA, RTP, RTO, RTN,, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, AG210, AG310, AG 410, AG610, AG 610I, AG Associates, Heatpulse 210, Heatpulse 410, Minipulse 310, Heatpulse 610, Heatpulse 610I, AG Heatpulse 410, AG Heatpulse 610, AG Heatpulse 210, AG Minipulse 310, Heatpulse 4100, Heatpulse 4108, Heatpulse 8108, Heatpulse 8800, Atmospheric Rapid Thermal Process, Vacuum Rapid Thermal Process, Furnace, Oven, Thermal Furnace, Thermal Process, Thermal Processing

Page 11: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Rapid Thermal Process

ALLLWIN21 CORP.

AccuThermo AW 820

Introduction

The AccuThermo AW820 is production-proven stand alone atmospheric RTP (Rapid Thermal Processing) system, which uses high intensity visible radiation to heat single wafer for short process periods of time at precisely controlled temperatures. The process periods are typically 1-900 seconds in duration, although periods of up to 9999 seconds can be selected. These capabilities, combined with the heating chamber's cold-wall design and superior heating uniformity, provide significant advantages over conventional furnace processing.

AccuThermo AW 820 Key Features

Long steady time capability RTP/RTA/RTO/RTN system with big stand alone frame and fans in the frame. 35 years’ production-proven Real RTP/RTA/RTO/RTN system Scattered IR light by special gold plated Al chamber surface. Allwin21 advanced Software package with real time control technologies and many useful functions. Consistent wafer-to-wafer process cycle repeatability. Top and bottom High-intensity visible radiation Tungsten halogen lamp heating for fast heating rates with good repeatability performance and long lamp lifetime. Cooling N2 (Or CDA) flows around the lamps and quartz isolation tube for fast cooling rates Elimination of external contamination by Isolated Quartz Tube Up to five gas lines with MFCs and shut-off valves Energy efficient. Made in U.S.A. Small footprint 38(D) X 39(W) X 70(H)

Chip manufacture Compound industry: GaAs,GaN,GaP,GaINP,InP,SiC, III-V,II-VI Optronics, Planar optical waveguides, Lasers Nanotechnology Biomedical Battery MEMS Solar LED

E-mail: [email protected] Website: www.allwin21.com

Introduction

Typical Applications (But not limited to)

Silicon-dielectric growth Implant annealing Glass reflow Silicides formation and

annealing

Contact alloying Nitridation of metals Oxygen-donor annihilation Other heat treatment

process

Typical Application Areas:

Page 12: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Rapid Thermal Process

ALLLWIN21 CORP.

AccuThermo AW 820 Software Key Features Integrated process control system Real time graphics display Real time process data acquisition, display, and analysis Programmed comprehensive calibration and diagnostic functions Closed-loop temperature control with temperature sensing. Precise time-temperature profiles tailored to suit specific process requirements. Faster, easier Programmable comprehensive calibration of all subsystems, leading to enhanced process results. A recipe editor to create and edit recipes to fully automate the processing of wafers inside the AccuThermo RTP Validation of the recipe so improper control sequences will be revealed. Storage of multiple recipes, process data and calibration files so that process and calibration results can be maintained and compared over time. Passwords provide security for the system, recipe editing, diagnostics, calibration and setup functions. Simple and easy to use menu screen which allow a process cycle to be easily defined and executed. Troubleshooting feature which allows engineers and service personnel to activate individual subassemblies and functions. More I/O, AD/DA “expose”. Use PowerSum technology to detect the process and increase Yield. Watchdog function: If this board looses communication with the control software, it will shut down all processes and halt the system until communication is restored. GEM/SECS II function (Optional).

AccuThermo AW 820 Specifications

Wafer sizes: Small pieces, 2", 3", 4", 5", 6" , 8" wafer capability Recommended ramp up rate: Programmable, 10°C to 120°C per

second. Maximum Rate: 200°C (NOT RECOMMENDED) Recommended steady state duration: 0-600 seconds per step. Ramp down rate: Non-programmable, 10°C to 200°C per second. Recommended steady state temperature range: 150°C - 1150°C.

Maximum 1250°C (NOT RECOMMENDED) ERP Pyrometer 450-1250°C with ±1°C accuracy when calibrated against

an instrumented thermocouple wafer. Thermocouple 100-800°C with ±0.5°C accuracy & rapid response. Temperature repeatability: ±0.5°C or better at 1150°C wafer-to-wafer.

(Repetition specifications are based on a 100-wafer set.) Temperature uniformity: ±8°C across an 8" (200 mm) wafer at 1150°C.

(This is a one sigma deviation 100 angstrom oxide.) For a titaniumsilicide process, no more than 6% increase in non-uniformity during thefirst anneal at 650°C to 700°C.

Process/Purge gas inputs: Any inert and/or non-toxic gas regulated to 30PSIG and pre-filtered to 1 micron. Typically, N2, O2), Ar, He, forming gas, NH3, N2O2 are used.

AccuThermo AW 820 Configuration

AccuThermo AW 820 Main Frame with wires. Power Type: Three Phase, worldwide power (50/60 Hz). CE Mark if Necessary. Pentium® class computer with a 15-inch touch screen monitor and Allwin21 Corp proprietary software package. Mouse and standard keyboard. Aluminum oven chamber with water cooling passages and gold plating plates. Door plate with one TC connection port. Isolated Quartz Tube W/O Pyrometer window or with Pyrometer Window. Oven control board and one main control board. Bottom and top heating with 27 (1.2KW ea) Radiation heating lamp module with 4 bank zones (Top Front&Rear, Bottom Front&Rear). Quartz Tray for 5 to 8 inch round wafer or customized. Gas line with one Gas MFC with shut-off valve. T-Shape Quartz with qualified K-Type TC and one set holder for 100-800°C temperature measurement. Package of 5 pieces of thermocouple wires as spare TC. USB with original Software backup.

Options: Multiple Process Gases (Up to 5) and MFCs with Gas Control

Board if necessary. Carrier or Susceptor for small sample, transparent substrate and

substrate with metal thin film on top. Patented ERP Pyrometer (400-1250°C) as non-contact high

temperature sensor. Chiller for ERP Pyrometer 2-inch, 4-inch, 6-inch, 8-inch Not recommended) TC Wafer,

Single Point for Pyrometer calibration Omega Meter for Pyrometer and Thermocouple calibration Shutt-off valve for Quartz Tube&Lamps cooling control Spare Parts

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

Rapid Thermal Process, Rapid Thermal Processing, Rapid Thermal Anneal, Rapid Thermal Annealing, Rapid Thermal Oxidation, Rapid Thermal Nitride, RTA, RTP, RTO, RTN,, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, AG210, AG310, AG 410, AG610, AG 610I, AG Associates, Heatpulse 210, Heatpulse 410, Minipulse 310, Heatpulse 610, Heatpulse 610I, AG Heatpulse 410, AG Heatpulse 610, AG Heatpulse 210, AG Minipulse 310, Heatpulse 4100, Heatpulse 4108, Heatpulse 8108, Heatpulse 8800, Atmospheric Rapid Thermal Process, Vacuum Rapid Thermal Process, Furnace, Oven, Thermal Furnace, Thermal Process, Thermal Processing

Page 13: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Rapid Thermal Process

ALLLWIN21 CORP.

AccuThermo AW 820V

Introduction

The AccuThermo AW820V is a stand alone Vacuum RTP (Rapid Thermal Processing) system, which uses high intensity visible radiation to heat single wafer for short process periods of time at precisely controlled temperatures. The process periods are typically 1-900 seconds in duration, although periods of up to 9999 seconds can be selected. These capabilities, combined with the heating chamber's cold-wall design and superior heating uniformity, provide significant advantages over conventional furnace processing.

AccuThermo AW 820 Key Features

Vacuum capability RTP/RTA/RTO/RTN system with Top and bottom High-intensity visible radiation Tungsten halogen lamp heating for fast heating rates with good repeatability performance and long lamp lifetime.

Scattered IR light by special gold plated Al chamber surface. Allwin21 advanced Software package with real time control

technologies and many useful functions. Consistent wafer-to-wafer process cycle repeatability. Cooling N2 (Or CDA) flows around the lamps and quartz isolation

tube for fast cooling rates Up to five gas lines with 4 MFCs and shut-off valves Energy efficient. Made in U.S.A. Small footprint

38(D) X 39(W) X 70(H)

AccuThermo AW 820V

Top&Bottom Lamp Heating Chamber

Chip manufacture Compound industry: GaAs,GaN,GaP,GaINP,InP,SiC, III-V,II-VI Optronics, Planar optical waveguides, Lasers Nanotechnology Biomedical Battery MEMS Solar LED

Introduction

Typical Applications (But not limited to)

Silicon-dielectric growth Implant annealing Glass reflow Silicides formation and

annealing

Contact alloying Nitridation of metals Oxygen-donor annihilation Other heat treatment

process

Typical Application Areas:

E-mail: [email protected] Website: www.allwin21.com

Page 14: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Rapid Thermal Process

ALLLWIN21 CORP.

AccuThermo AW 820V Software Key Features o Integrated process control systemo Real time graphics displayo Real time process data acquisition, display, and analysiso Programmed comprehensive calibration and diagnostic functionso Closed-loop temperature control with temperature sensing.o Precise time-temperature profiles tailored to suit specific process

requirements.o Faster, easier Programmable comprehensive calibration of all

subsystems, leading to enhanced process results.o A recipe editor to create and edit recipes to fully automate the

processing of wafers inside the AccuThermo RTPo Validation of the recipe so improper control sequences will be

revealed.o Storage of multiple recipes, process data and calibration files so that

process and calibration results can be maintained and compared overtime.

o Passwords provide security for the system, recipe editing, diagnostics,calibration and setup functions.

o Simple and easy to use menu screen which allow a process cycle to be easily defined and executed.

o Troubleshooting feature which allows engineers and service personnelto activate individual subassemblies and functions. More I/O, AD/DA“exposure”.

o Use PowerSum technology to detect the process and increase Yield.o Watchdog function: If this board looses communication with the control

software, it will shut down all processes and halt the system untilcommunication is restored.

o GEM/SECS II function (Optional).oAccuThermo AW 820V Specifications Wafer sizes: Small pieces, 2", 3", 4", 5", 6", 8" wafer capability Vacuum Pressure: 50mTorr to 13 Torr or 13 Torr to 760 Torr Recommended ramp up rate: Programmable, 10°C to 120°C per

second. Maximum Rate: 200°C (NOT RECOMMENDED) Recommended steady state duration: 0-600 seconds per step. Ramp down rate: Non-programmable, 10°C to 200°C per second. Recommended steady state temperature range: 150°C - 1150°C.

Maxim 1250°C (NOT RECOMMENDED) Special quick response K-Type TC temperature accuracy: ±1°C, when

calibrated against an instrumented thermocouple wafer. Thermocouple temperature accuracy: ±0.5°C with rapid response. Temperature repeatability: ±0.5°C or better at 1150°C wafer-to-wafer.

(Repetition specifications are based on a 100-wafer set.) Temperature uniformity: ±8°C across a 8" (200 mm) wafer at 1150°C.

(This is a one sigma deviation 100 angstrom oxide.) For a titaniumsilicide process, no more than 6% increase in non-uniformity during thefirst anneal at 650°C to 700°C.

Process/Purge gas inputs: Any inert and/or non-toxic gas regulated to 30PSIG and pre-filtered to 1 micron. Typically, N2, O2), Ar, He, forming gas, NH3, N2O2 are used.

AccuThermo AW 820V Configuration

AccuThermo AW 820V Main Frame with wires. Power Type: Three Phase, worldwide power (50/60 Hz) CE Mark if Necessary Pentium® class computer with a 15-inch touch screen monitor and Allwin21 Corp proprietary software package. Mouse and standard keyboard. Aluminum oven chamber with water cooling passages. Door plate with one TC connection port. Top and bottom quartz windows and heating module with 27 (1.2KW ea) Radiation lamps with 4 bank zones (Top Front&Rear, Bottom Front&Rear). Oven control board and one main control board. Quartz Tray for 5 to 8 inch round wafer or customized. Two gas lines with one Gas MFC with shut-off valve. USB with original Software backup. Main Vacuum Valve

Options: Atmospheric process function. Vacuum pressure measurement and control function Turbo pump for up to 10-6 mTorr (NOT RECOMMENDED) Mechanical vacuum pump* Dry vacuum pump* Multiple Process Gases and MFCs (Up to 4) with Gas Control Board

if necessary. Special quick response K-Type TC assembly for high temperature Carrier or Susceptor for small sample, transparent substrate and

substrate with metal thin film on top. Omega Meter Thermocouple calibration Shutt-off valve for Quartz Tube&Lamps cooling control Spare Parts

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

Spare PartsAllwin21 Corp.Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.Tel.: +1-408-778-7788 Fax: +1-408-904-7168Email: [email protected] specification and information

Page 15: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Sputter Deposition

ALLLWIN21 CORP.

AccuSputter AW 4450

Introduction

Allwin21 Corp. is a leading supplier of sputter deposition equipment for high technology applications for Semiconductor III-V, II-VI, MEMS, Biomedical, Nanotechnology, Solar, Battery & LED industries. The AccuSputter AW 4450 is designed for flexibility offering a wide range of operating and process modes. The highest quality construction, components and Allwin21's new real time AW-4450 System Control assure reliable operation and an ultra clean vacuum environment to yield consistently reproducible results. Every AccuSputter AW 4450 sputtering system is supported by years of technological experience and backed by a worldwide sales and service organization dedicated to prompt courteous service

AccuSputter AW 4450 Key Features

Production-proven sputter technology Optimum AW-4450 System Control DC 24V for Motors, Actuator, Relay, Solenoid Efficient 8" Delta cathodes, 2 to 6" option Full Pallet rotation control with “indexing” High Uniformity and Yield DC, RF Sputter, Pulse DC option Magnetron and Diode Sputter option RF Etch and Bias are optional Ultra Clean vacuum system Load lock operation UHV design Flexible for development or production use Full range of substrate sizes and shapes Various pumping and power options Co-sputtering option Reactive Sputtering option

AccuSputter 4450 Sputter Materials

E-mail: [email protected] Website: www.allwin21.com

Introduction

Al+W Cr/SiO2 SiC Ti+Au

InSnO SiO2 Ti/W Ti+Au+Ni

Al2O3 Mo SiO2+O2 Ni/Fe+Cu+SiO2

Ag MoSi2 Si+N2(Si3N4) Ti/W+Au

Au Mo2Si5 Si+N2+B4C Ti/W+Au+Ta

C Mo5Si3 Ta Ti/W+Al/Si

Cr Ni TaC Ti/W+Ni/Cr+Au

Cr/Co Ni/Cr Ta+Au Ti/W+Pt

Cr/Au Ni+Ni/Cr TaSi2 Al+Ti/W+Ag

Cr+Cu Ni/Fe Ta+SiO2 W+Al2O3

Cr/Si Pt Zr Zn

Cr/SiO TiO2 TiO2+Cr ZnO2

Page 16: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Sputter Deposition

ALLLWIN21 CORP.

AccuSputter AW 4450 Software Key Features

o Maintenance, Manual, Semi Automatic and Fully Automatic modes.o Automated calibration of all subsystems.o Troubleshooting to subassembly levels.o Programmed comprehensive calibration and diagnostic functions.o Recipe creation for full automatic wafer processing.o Automatic decline of improper recipes and process data inputs.o Multi-level password protection.o Storage of multiple recipes and system functions.o Real-Time process graphics, data acquisition display, and analysis.o Process Data and Recipe storage automatically to hard drive.o Easy TC vacuum gauge calibration.o Positioning Deposition (optional)o GEM/SECS II (optional)

Main Frame 28" dia. SST chamber top plate with ports and Cathodes Configuration I II Cathode Shape Circle Delta Cathode Size 8 inch Delta Cathode Quantity 1 to 4 1 to 3 Sputter Power Supply Configuration I II III DC Power 5 KW 10 KW RF Power 1KW 2 KW 3 KW Pulse DC Power 5 KW 10 KW Process Chamber • 8" diameter X 12" high stainless steel cylinder with 6"• CF flange viewport and load lock port• 28" diameter stainless steel base plate• 11/2" air-operated roughing isolation valve• Air-operated gas inlet valve• Air-operated vent valve• 11/2"blanked-off leak check port• Removable deposition shields• 23" diameter, 3-position water-cooled annular substrate

table with variable-speed motorized table drive• Full circle shutter and vane shutter• Chain drive pallet carrier transport• Heavy duty electric hoistLoad lock • 30" x 28" x 8" stainless steel load lock chamber with

aluminum cover• Chain drive pallet carrier transport• 2" air-operated roughing isolation valve• Air-operated vent valve• 23" diameter molybdenum annular substrate pallet• Elevator for pallet up and down function.Vacuum Systems for process Chamber • 2 stage Cryo pump with 1000 l/s pumping speed for air,

including chevron, water-cooled compressor and lines,automatic regeneration controller and plumbing kit. 71/2"O.D. (6" ASA) aluminum air-operated gate valveAir-operated venetian blind throttling valve.

• 36.7 cfm mechanical pump or dry pump for process chamber andload lock (Optional)

1 gas line with MFC ① Ar, 200 SCCM; ② CustomizedNew Controller: Allwin21 Corp.'s AW-4450 System PC Control New Power Distribution Box: AC380V /208V/ 3Phase

AccuSputter AW 4450 Basic Configuration

GEM/SECS II function (Software) More gas lines with MFC

① N2; ② O2; ③ Customized Lamp tower alarm with buzzer. Mechanical pump or dry pump for process chamber and load lock. Independent mechanical pump or dry pump for process chamber. Chiller for Cooling plates and table. Turbo pump for load lock. Load lock Lamp Heating function, Up to 200°C Chamber Lamp Heating function, Up to 300°C (Use one cathode port

in SST chamber top plate). Plasma etch function (before sputter) Bias function Co-sputter function Reactive sputter function Transformer for AC 380V to 208V for DC Power Supply (if necessary).

Options

Production-Proven Chamber/Load lock/Vacuum

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

Perkin-Elmer 4400, Perkin-Elmer 4410, Perkin-Elmer 4450,Perkin-Elmer 4480, Perkin-Elmer 2400, Perkin Elmer 4400, Perkin Elmer 4410, Perkin Elmer 4450,Perkin Elmer 4480, Perkin Elmer 2400, Sputter, Magnetron Sputter, Diode Sputter, DC Sputter, RF Sputter, DC Magnetron Sputter, RF Magnetron Sputter, Co-sputter, Reactive Sputter, MRC, MRC 603, MRC 903, MRC 602, MRC 902, MRC 604, MRC 904, MRC 924, Plasma Etch, Dry Clean, Bias Function, Cathode, Load lock, Degas, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment,, Thin Film, Metal Thin Film, Thin Film Deposition, PVD, Physical Vapor Deposition

Page 17: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Sputter Deposition

ALLLWIN21 CORP.

Perkin-Elmer 4400 Series

Introduction

With an installed base of more than thousands of systems, Perkin-Elmer was a leading supplier of sputter deposition equipment for high technology application in 1990's. The Perkin-Elmer 4400 Series, fully refurbished and upgraded by Allwin21 Corp., were designed for flexibility offering a wide range of operating and process modes. The highest quality construction, components and Allwin21's new AW-4450 System Control assure reliable operation and an ultra clean vacuum environment to yield consistently reproducible results. Every fully refurbished and upgraded Perkin Elmer sputtering system was supported by years of technological experience and backed by a worldwide sales and service organization dedicated to prompt courteous service

Perkin-Elmer 4400 Series Key Features

Production-proven sputter technology New optimum AW-4450 System Control DC 24V for Motors, Actuator, Relay, Solenoid Efficient 8" Delta cathodes, 2 to 6" option Full Pallet rotation control with “indexing” High Uniformity and Yield DC, RF Sputter, Pulse DC option Magnetron and Diode Sputter option RF Etch and Bias are optional Ultra Clean vacuum system Load lock operation UHV design Flexible for development or production use Full range of substrate sizes and shapes Various pumping and power options Co-sputtering option Reactive Sputtering option

Approved Sputter Materials

E-mail: [email protected] Website: www.allwin21.com

Introduction

Al+W Cr/SiO2 SiC Ti+Au

InSnO SiO2 Ti/W Ti+Au+Ni

Al2O3 Mo SiO2+O2 Ni/Fe+Cu+SiO2

Ag MoSi2 Si+N2(Si3N4) Ti/W+Au

Au Mo2Si5 Si+N2+B4C Ti/W+Au+Ta

C Mo5Si3 Ta Ti/W+Al/Si

Cr Ni TaC Ti/W+Ni/Cr+Au

Cr/Co Ni/Cr Ta+Au Ti/W+Pt

Cr/Au Ni+Ni/Cr TaSi2 Al+Ti/W+Ag

Cr+Cu Ni/Fe Ta+SiO2 W+Al2O3

Cr/Si Pt Zr Zn

Cr/SiO TiO2 TiO2+Cr ZnO2

Page 18: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Sputter Deposition

ALLLWIN21 CORP.

Perkin-Elmer 4400 Series Software Key Features

o Maintenance, Manual, Semi Automatic, and Fully Automatic modeso Automated calibration of all subsystemso Troubleshooting to subassembly levelso Programmed comprehensive calibration and diagnostic functionso Recipe creation for full automatic wafer processingo Automatic decline of improper recipes and process datao Multi level pass word protectionso Storage of multiple recipes and system functionso Real-Time process data acquisition, display, analysiso Real-Time graphics use displayo Process Data and Recipe storage on a hard driveo Easy TC vacuum gauge calibrationo Positioning Deposition (optional)o GEM/SECS II functions (optional)

Main Frame 28" dia. SST chamber top plate with ports and Cathodes Perkin-Elmer 4400 4410 4450 Cathode Shape Circle Delta Cathode Size 8 inch Delta Cathode Quantity 1 to 4 1 to 3 Sputter Power Supply Perkin-Elmer 4400 4410 4450 DC Power 5 KW ① 5 KW; ② 10 KWRF Power ①1KW; ②2KW ① 2 KW; ② 3 KWPulse DC Power 5 KW ① 5 KW; ② 10 KWProcess Chamber • 8" diameter X 12" high stainless steel cylinder with 6"• CF flange viewport and load lock port• 28" diameter stainless steel base plate• 11/2" air-operated roughing isolation valve• Air-operated gas inlet valve• Air-operated vent valve• 11/2"blanked-off leak check port• Removable deposition shields• 23" diameter, 3-position water-cooled annular substrate

table with variable-speed motorized table drive• Full circle shutter and vane shutter• Chain drive pallet carrier transport• Heavy duty electric hoistLoad lock • 30" x 28" x 8" stainless steel load lock chamber with

aluminum cover• Chain drive pallet carrier transport• 2" air-operated roughing isolation valve• Air-operated vent valve• 23" diameter molybdenum annular substrate pallet• Elevator for pallet up and down function.Vacuum Systems for process Chamber • 2 stage Cryo pump with 1000 l/s pumping speed for air,

including chevron, water-cooled compressor and lines,automatic regeneration controller and plumbing kit. 71/2"O.D. (6" ASA) aluminum air-operated gate valveAir-operated venetian blind throttling valve.

• 36.7 cfm mechanical pump or dry pump for process chamber andload lock (Optional)

1 gas line with MFC ① Ar, 200 SCCM; ② CustomizedNew Controller: Allwin21 Corp.'s AW-4450 System PC Control New Power Distribution Box: AC380V /208V/ 3Phase

Replaced Obsolete Controls

Perkin-Elmer 4400 Series Basic Configuration

GEM/SECS II function (Software) More gas lines with MFC

① N2; ② O2; ③ Customized Lamp tower alarm with buzzer Mechanical pump or dry pump for process chamber and load lock Independent mechanical pump or dry pump for process chamber. Chiller for Cooling plates and table Turbo pump for load lock Load lock Lamp Heating function, Up to 200°C Chamber Lamp Heating function, Up to 300°C (Use one cathode port

in SST chamber top plate). Plasma etch function (before sputter) Bias function Co-sputter function Reactive sputter function Transformer for AC 380V to 208V for DC Power Supply (if necessary).

Perkin-Elmer 4400 Series Options

Auto Pump Down Controller Load Lock Controller Digital Clock Timer Table Raise / Lower Control Throttle Valve Control System Pressure Control System Sputter Head Controls

Production-Proven Chamber/Load lock/Vacuum

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

Perkin-Elmer 4400, Perkin-Elmer 4410, Perkin-Elmer 4450,Perkin-Elmer 4480, Perkin-Elmer 2400, Perkin Elmer 4400, Perkin Elmer 4410, Perkin Elmer 4450,Perkin Elmer 4480, Perkin Elmer 2400, Sputter, Magnetron Sputter, Diode Sputter, DC Sputter, RF Sputter, DC Magnetron Sputter, RF Magnetron Sputter, Co-sputter, Reactive Sputter, MRC, MRC 603, MRC 903, MRC 602, MRC 902, MRC 604, MRC 904, MRC 924, Plasma Etch, Dry Clean, Bias Function, Cathode, Load lock, Degas, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment,, Thin Film, Metal Thin Film, Thin Film Deposition, PVD, Physical Vapor Deposition

Page 19: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Plasma Asher Descum

ALLLWIN21 CORP.

Introduction

E-mail: [email protected] Website: www.allwin21.com

AW-105R

The AW-105R single-wafer photoresist asher and descum is an automated tool designed as a flexible 13.56MHz RF Parallel Plate plasma photoresist removal and descum system for high-volume wafer fabrication. The AW-105R is in direct response to manufacturer’s concerns for wafer uniformity, uptime, reliability and production-proven technology.

AW-105R Key Features

Production-proven plasma Asher/Descum system. Integrated solid robotic wafer handling, Single wafer process. Up to 3%-5% Uniformity. Best for III-V Materials. Frontside and backside isotropic removal. Consistent wafer-to-wafer process cycle repeatability. Element heating for up to 250oC. 50mm-150mm wafer capability. Up to 6.25” substrate. Up to 4 wafer size capability without hardware change. Fixed cassette station and wafer aligner/cooling station. Can handle 50um thickness wafer. PC controller with Advanced Allwin21 Software. Endpoint detection (EOP) with Allwin21 SLOPE technology (Optional). Up to 3 gas lines with MFC. Air-Cooled 600W MKS 13.56 MHz RF Generator (300W Option). Pressure control with Throttle Valve. 15-inch Touch screen monitor GUI. EMO, Interlocks, and Watchdog function. GEM/SECS II (optional). Small Footprint: 27”W x 40”D x 59”H (280LBs) Made in U.S.A.

AW-105R Applications

GaAs, InP, GaN, SiC wafer Strip (Mainly) GaAs, InP, GaN, SiC wafer Descum (Mainly) Thin Film Head Resist Cleaning Opto-Electronic Devices Cleaning MEMS Photoresist Stripping High dose implant (As+, B+, P+) Rework Post-polysilicon Post-metal Post-oxideControlled Resist Removal Post-develop descum Uniformity capability (<5% 1σ)

Production-proven Reactor

Integrated Robust Solid Robot

Introduction

Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Matrix 105, Matrix 205, Matrix 303, Matrix 403,Matrix 106,Matrix 104, Matrix 102,Matrix 101, Matrix 10

Page 20: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Plasma Asher Descum

ALLLWIN21 CORP.

Branson/IPC 3000 Specifications*

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

AW-105R Software Key Features

o Real time graphics display, process data acquisition, and analysis. o Closed-loop process parameters control. o Precise parameters profiles tailored to suit specific process

requirements. o Programmable comprehensive calibration of all subsystems from within

the software. This allows faster, easier calibration, leading to enhanced process results.

o Recipe creation to ensure process repeatability. It features a recipe editor to create and edit recipes to fully automate the processing of wafers inside the process chamber.

o Validation of the recipe so improper control sequences will be revealed. o Storage of multiple recipes, process data, and calibration files so that

process & calibration results can be maintained or compared over time. o Passwords provide security for the system, recipe editing, diagnostics,

calibration, and setup functions. o Simple and easy to use menu screen which allow a process cycle to be

easily defined and executed. o Troubleshooting features which allows engineers and service personnel

to activate individual subassemblies and functions. More I/O and AD/DA “exposure”.

o DB-25F parallel (printer) port. The computer interfaces to the Allwin21 system with only one cable: the control interface cable.

o The control board inside the machine that translates the computer commands to control the machine has a watchdog timer. If this board loses communication with the control software, it will shut down all processes and halt the system until communication is restored.

o GEM/SECS II function (Optional). o Advanced Allwin21 End of Process (EOP) function (Optional)

AW-105R Specifications*

Wafer Size: Up to 6.25 inch. Temperature: 60-250ºC (±2ºC) Gas Lines: Up to three gas lines with MFCs.

Typical MFC configuration: 5 SLM O2 and 500 SCCM N2. Asher Rate: 0.5-1.5 um/min at 200 to 250 ºC, bulk strip; 600 A/min at

100 ºC, Descum Uniformity: <±8% (Max-Min) Strip; <±5% (Max-Min) Descum Particulate: <0.05 /cm2 (0.03um or greater) Damage: CV:<0.1V from control; Mobile Ion:<1-2 E10 ; Vt :0% total

shift on 98% of points tested no shift >5% Selectivity: >1000:1 MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better. 95% uptime

*Contact Allwin21 sales for other applications and specifications

AW-105R Configuration

Main Frame with Circuit Breakers, Solenoid Valves Pentium Class PC with AW Software Keyboard, Mouse, USB SW backup, and Cables Chuck /w Heat, Pump Ring ,Lift Pins

① 2-4 inch; ② 2-6 inch; ③ 4-6 inch; ④ 6.125 inch; ⑤ 6.25 inch Center Aligner and Cassette Station

① Two Dimensions ② Four Dimensions Anodized Reactor with Door Chamber Base plate with water sensor Base Plate and Reactor Ceramic Ring Base Plate and Chuck Ceramic Ring Upper and Lower Electrodes Quartz showerhead & Diffusion Disk Main Control and Distribution PCBs 3-axis Integrated Robust Solid Robot RF Matching Network with PCBs 13.56MHz RF Generator

① 300W ② 600W MFC /w In-line Filter and Solenoid Isolation Valve

① One MFC; ② Two MFCs; ③ Three MFCs AC/DC Box with Temperature Controller MKS Baratron with Isolation Valve Lamp Tower Alarm w/ Buzzer Throttle Valve Main Vacuum Valve Front EMO, Interlocks 15-inch Touch Screen GUI

Options: End-of-Process (EOP) GEM/SECS II (Software) Vacuum Pump Chiller for Chamber Base Plate

AW-105R Facilities Requirements Plumbed Process Gases: O2 N2

Cooling water: 1GPM house circulating supply @ <23 ± 2°C Facility Exhaust: 100 CFM @ 1” static pressure Vacuum supply for Robot: 11.8”Hg(-5.8psi) / 0.1CFM

airflow Power: 190-240VAC, single phase, 30A, 50/60Hz (NEMA

L-6-30P plug supplied)

Main Menu Screen

Page 21: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Plasma Asher

ALLLWIN21 CORP.

AW-1008

Introduction

The AW-1008 single-wafer photoresist asher is an automated tool designed as a flexible downstream Microwave plasma photoresist removal system for high-volume wafer fabrication. The AW-1008 is in direct response to manufacturer’s concerns for wafer sensitivity to processing RF damage, uptime, reliability and production-proven technology.

AW-1008 Key Features

Production-proven plasma stripper/Asher system technology. 5-15% Uniformity. (Process & Hardware dependent. Optional.) Fast strip/ash rate. (Process & Hardware dependent. Optional.) Increased throughput with 3-Axis Integrated Robust Solid Robot. Frontside and backside isotropic removal. 3x 1kW IR Lamp for uniform heating up to 500C. 75mm-150mm wafer capability. Endpoint detection w/Allwin21 SLOPE technology (Optional) 2 wafer sizes capability without hardware change if necessary. Two Fixed cassette stations. Or, one Fixed & one centering station. Can handle 50um thickness wafer PC controller with Advanced Allwin21 Software Package Up to 4 gas lines with MFC’s 2.45GHz 1000W Microwave Pressure control with Throttle Valve Touch screen monitor EMO, Interlocks, and Watchdog function GEM/SECS II interface, Optional Small Footprint : 35”W x 40”D x 55”H (250LBs) Made in U.S.A.

AW-1008 Applications

Downstream ashing for NO device damage Frontside and backside isotropic removal Bulk resist removal Single wafer process High-dose implanted resist Non-oxidizing metal processing Descum

Production-proven Reactor Integrated Robust Solid Robot

E-mail: [email protected] Website: www.allwin21.com

Introduction Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Gasonics Aura 1000, Gasonics Aura 2000, Gasonics Aura 3000, Gasonics L3510, Gasonics Aura 3010

Page 22: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Plasma Asher

ALLLWIN21 CORP.

AW-1008 Software Key Features

Real time graphics display, process data acquisition, and analysis. Closed-loop process parameters control. Precise parameters profiles tailored to suit specific process requirements. Programmable comprehensive calibration of all subsystems from within the software. This allows faster, easier calibration, leading to enhanced process results. Recipe creation. It features a recipe editor to create and edit recipes to fully automate the processing of wafers inside the process chamber. Validation of the recipe so improper control sequences will be revealed. Storage of multiple recipes, process data and calibration files so that process and calibration results can be maintained and compared over time. Passwords provide security for the system, recipe editing, diagnostics, calibration and setup functions Simple and easy to use menu screen which allow a process cycle to be easily defined and executed. Troubleshooting features which allows engineers and service personnel to activate individual subassemblies and functions. More I/O, AD/DA “exposure”. DB-25F parallel (printer) port. The computer interfaces to the Allwin21 system with only one cable: the control interface cable. The control board inside the machine that translates the computer commands to control the machine has a watchdog timer. If this board looses communication with the control software, it will shut down all processes and halt the system until communication is restored. GEM/SECS II function (Optional). Advanced Allwin21 EOP function (Optional)

AW-1008 Specifications*

Wafer Size: 3 ,4,5,6 inch Capability. Multiple wafer size withouthardware charge.

Temperature: 150-350 ºC (±2 ºC) capability Gas Lines: Up to four gas lines with MFCs. Popular MFC Range: 510

SLM O2 and 1 SLM N2. Asher Rate: 1.5u-5u/min. positive photoresist; >8u/min. negative

photoresist Uniformity: 15%, Process Dependent Particulate: <0.05 /cm2 (0.03um or greater) Damage: CV: <0.I V CV-shift for 250A gate oxide Selectivity: >1000:1 MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better. 95%

uptime*Contact Allwin21 sales for other applications and specifications

AW-1008 Configuration

Main Frame with Breakers, Relays and Wires Pentium Class PC with AW Software Keyboard, Mouse, USB with SW backup and Cables Quartz Tray ① 3-4 inch; ② 4-6 inch; ③ 5 inch; ④ 6 inch; ⑤ OthersFixed Cassette Station ① Two Cassette Stations; ② One Cassette StationLamp Heat Module and Quartz Window (3 of 1000W IR lamp) 6 inch Quartz showerhead and 5 inch Diffusion Disk Chamber Top Plate and Body with TC for Close Loop Temperature Control (CLTC) Main Control, Distributor PCB and DC H1-7X10.5 Integrated Solid Robot Waveguide and Quartz Plasma Tube Blower for Magnetron and Waveguide Capacitor, Two Transformers, HV Diode 1000W Air cooling magnetron 1-4 Gas Lines w/ Pneumatic Valve, and MFC ① One MFC; ② Two MFCs; ③ Three MFCs; ④ Four MFCsAC Box and Lamp Control PCB for Close Loop Temperature Control (CLTC) Main Vacuum Valves. Two, one for Fast and one for slow pump down MKS Baratron Throttle Valve Front EMO, Interlocks 15-inch Touch Screen GUI

Options: EOP Module with PCB GEM/SECS II function (Software) Lamp Tower Alarm function 1.25kW “Absolute” MW Magnetron with water-cooled Waveguide

with AGL Power Generator. Vacuum Pump

Main Menu Screen

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

Page 23: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Plasma Asher Descum

ALLLWIN21 CORP.

AW-B3000

Introduction

The AW-B3000 batch/barrel photoresist asher is a manual load tool designed as a flexible 13.56 MHz RF plasma photoresist removal system for high-volume wafer fabrication. The AW-B3000 is in direct response to manufacturer’s concerns for Uptime, Reliability, Production-Proven technology. and low cost of ownership.

AW-B3000 Key Features

Production-proven plasma Stripper/Asher/Descum technology. Up to 25% Uniformity. Much lower if used with a Faraday Cage. Consistent wafer-to-wafer uniformity. TC Option can be used with an N2 Plasma to heat the wafers up to 170°C (Chamber) to increase ash rate. End-of-Process (EOP) Option automatically stops the Process after all wafers are fully stripped regardless of wafer quantity or photoresist thickness. Samples, 6” square, and up to 8” round wafers capable. Many wafer sizes capability without hardware change. Can handle different thickness wafer with different carriers. New controller with PC with Advanced AW Software Up to 5 isolated gas lines with MFC’s 13.56 MHz RF Generator. (Air-cooled Optional) Pressure Control Throttle Valve for better process repeatability. (Optional) MKS Baratron (Optional) Touch screen GUI EMO, Interlocks and Watchdog function GEM/SECS II (Optional) Made in U.S.A.

AW-B3000 Applications

Low cost production-proven plasma Asher / Descum Front and backside isotropic photoresist removal. Barrel/Batch Manual Load Process Descum

Production-proven Reactor

E-mail: [email protected] Website: www.allwin21.com

Introduction

Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Branson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000, Barrel Asher, Barrel Etch, Barrel Etcher

Page 24: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Plasma Asher Descum

ALLLWIN21 CORP.

AW-B3000 Software Key Features

Real time graphics display (GUI), process data acquisition, display, and analysis.

Closed-loop process parameters control. Precise parameters profiles tailored to suit specific process

requirements. Programmable comprehensive calibration of all subsystems from

within the software. This allows faster, easier calibration, leading to enhanced process results.

Recipe creation. It features a recipe editor to create and edit recipes to fully automate the processing of wafers inside the process chamber.

Validation of the recipe so improper control sequences will be revealed.

Storage of multiple recipes, process data and calibration files so that process and calibration results can be maintained and compared over time.

Passwords provide security for the system, recipe editing, diagnostics, calibration and setup functions

Simple and easy to use menu screen which allow a process cycle to be easily defined and executed.

Troubleshooting features which allows engineers and service personnel to activate individual subassemblies and functions. More I/O, AD/DA “exposure”.

DB-25F parallel (printer) port. The computer interfaces to the Allwin21 system with only one cable: the control interface cable.

The control board inside the machine that translates the computer commands to control the machine has a watchdog timer. If this board looses communication with the control software, it will shut down all processes and halt the system until communication is restored.

GEM/SEC II function (Optional). Advanced Allwin21 EOP function (Optional)

AW-B3000 Specifications* Wafer Size: Sample to 200mm Capability. Multiple wafer size without

hardware change High Throughput: Up to 75 WPH. Process Dependent. Temperature: Only TC Option can be used for N2 plasma to heat the

substrates up to 170°C. Gas Lines: Up to 5 isolated gas lines with MFCs. Asher Rate: 0-0.1u/min. positive PR; >0.2u/min. negative PR. Slower if

Faraday Cage is used Uniformity: Up to 25%. Much lower with Faraday Cage. Particulate: <0.05 /cm2 (0.03um or greater) Damage: Low damage with Faraday Cage. Selectivity: >1000:1 MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better. 95% uptime

* Contact Allwin21 sales for other applications and specifications

AW-B3000 Configuration

Main Body with wires Control Box Pentium Class PC with AW Software Keyboard, Mouse, USB with SW backup and Cables Main Control PCB and DC Transformer, Circuit Breaker,Contactor 1-5 Isolated Gas Lines w/ Pneumatic Valve and MFC Purge has manual regulator in controller box to control speed. Quartz Chamber: Dia 12” x Depth 23”; RF Match Network Integrated in the Main Body of tool. Chamber Door with quartz plate in the Main Body. Gas and vacuum lines Connections in the Main Body 13.56MHz RF Generator (Air-Cooled is Optional)

① 300W; ② 600W; ③ 1000W; ④ 1200W Lamp tower alarm with buzzer Main Vacuum Valve MKS Baratron Throttle Valve Front EMO, Interlocks 15-inch Touch Screen GUI

Options: End-of-Process (EOP) function. Throttle Valve for pressure control. Air-cooled RF Generator. GEM/SECS II function (Software) Thermocouple for Chamber Temperature Vacuum Pump

Main Menu Screen

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

Page 25: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Plasma Etcher/RIE

ALLLWIN21 CORP.

AW-901eR & AW-903eR

Introduction

The AW-901eR & AW-903eR single-wafer dry etchers are automated tools designed as a flexible 13.56MHz RF Parallel Plate plasma etching systems for high-volume wafer fabrication. AW-901eR & AW-903eR are in direct response to manufacturer’s concerns for wafer breakage, Uniformity, Uptime, Reliability, and Production-Proven technology.

Equipment Key Features

Production-proven plasma etching system. Up to 3%-5% Uniformity. Frontside and backside isotropic and anisotropic etch. Process Temperature: 6-65°C . 75mm-150mm wafer capability. Integrated solid robotic wafer handling. Single wafer process. Fixed cassette station and wafer aligner/cooling station. Can handle 50um thickness wafer. PC controller with Advanced Allwin21 Software. Endpoint detection with Allwin21 SLOPE technology. (Optional) Up to 4 gas lines with MFC’s. MKS 13.56 MHz RF Air-Cooled Generator 300W, 600W, or 1000W. Pressure control with UPC. Throttle valve is optional. Touch screen GUI. EMO, Interlocks, and Watchdog function. GEM/SECS II (Optional) Small Footprint Made in U.S.A.

AW-901eR, AW-903eR Applications

Polysilicon Etch Nitride Etch Silicon Nitride Etch Silicides Etch Silicon Dioxide Etch Polyimide Etch Polyimide ILD Etch LDD Spacer Etch BCB Etch

Production-proven Reactor

E-mail: [email protected] Website: www.allwin21.com

Introduction

Zero Layer Etch Backside Etch Pad Etch Passivation Etch Oxide/Contact/Via Etch (Down to 0.8um) Titanium/Tantalum Alloy Resist/SOG Planarization Descum

Integrated Robust Solid Robot

Plasma Etcher, Please Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Tegal 901e, Tegal 903e, Tegal 901e TTW, Tegal 915

Page 26: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Plasma Etcher/RIE

ALLLWIN21 CORP.

Software Key Features

Real time graphics display, process data acquisition, and analysis. Closed-loop process parameters control. Precise parameters profiles tailored to suit specific process

requirements. Programmable comprehensive calibration of all subsystems from

within the software. This allows faster, easier calibration, leading to enhanced process results.

Recipe creation to ensure process repeatability. It features a recipe editor to create and edit recipes to fully automate the processing of wafers inside the process chamber.

Validation of the recipe so improper control sequences will be revealed.

Storage of multiple recipes, process data, and calibration files so that process & calibration results can be maintained or compared over time.

Passwords provide security for the system, recipe editing, diagnostics, calibration, and setup functions.

Simple and easy to use menu screen which allow a process cycle to be easily defined and executed.

Troubleshooting features which allows engineers and service personnel to activate individual subassemblies and functions. More I/O and AD/DA “exposure”.

DB-25F parallel (printer) port. The computer interfaces to the Allwin21 system with only one cable: the control interface cable.

The control board inside the machine that translates the computer commands to control the machine has a watchdog timer. If this board loses communication with the control software, it will shut down all processes and halt the system until communication is restored.

GEM/SECS II function (Optional). Advanced Allwin21 Endpoint Detection function (Optional)

AW-901eR, AW-903eR Specifications*

Up to 6 inch Capability Throughput: 30-60 WPH, Process Dependent Temperature: 6-65ºC (±2 ºC) capability Gas Lines: 4 gas lines with MFCs. Etcher Rate: AW-901eR: 0-8000A/minute; AW-903eR:

0-4000A/minute, Process Dependent Uniformity: Up to ±3%, Process Dependent Particulate: <0.05 /cm2 (0.03um or greater) Selectivity: 901eR: 2-20:1 ; AW-903eR: 2-20:1, Process

Dependent MTBF/MTTA/MTTR: 450 Hours/100 Hours/3.5 Hours or Better. 95%

uptime * Contact Allwin21 sales for other applications and specifications

AW-901eR, AW-903eR Configuration

Main Frame, Standard Pentium Class PC with AW Software Keyboard, Mouse, USB with SW backup, and Cables Chuck

① 3”; ② 4”; ③ 5”; ④ 6” Wafer Aligner/Cooling Station 3-Axis Integrated Solid Robot

① H-Zero (Standard); ② H1-7X10.5 (TTW) Fixed Cassette Station Chuck Assembly

① 901eR Non-anodized; ② 903eR Anodized /W Flat ③ 903eR Anodized /wo Flat ④ 903eR Non-anodized /W Flat

Reactor Assembly ① 901eR Non-anodized; ② 903eR Anodized ③ 903eR Non-anodized; ④ 903eR High Performance ⑤ Direct Cooling; ⑥ Non-Direct Cooling

Pins ① Quartz; ② Ceramic; ③ SST

Centering Ring ① Aluminum; ② Quartz; ③ Ceramic

Main Control Board Gas Box /w 4 inline Gas Lines, MFC, filters, and Pneumatic valves RF Matching Network with PCB 13.56 MHz RF Generator (Air or Water Cooled)

① MKS Elite:300HD; ② MKS Elite:600HD ③ MKS Elite:1000HD; ④ ENI ACG 3; ⑤ ENI ACG 10

AC/DC Box ATM Sensor UPC Pressure Control

① 225 SCCM,901eR; ② 2000 SCCM, 903eR MKS Baratron with Pneumatic Isolation Valve Main Vacuum Valves Front EMO, Interlocks 15-inch Touch Screen GUI

Options: EOP Module with PCB GEM/SECS II function (Software) Lamp tower alarm with buzzer Throttle Valve Pressure Control Vacuum Pump Chiller for chuck and chamber Through The Wall

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

Through The Wall

Page 27: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Plasma Etcher/RIE

ALLLWIN21 CORP.

Comparing AW-901eR and AW-903eR

AW-901eR, AW-903eR Facility Requirements

AC Power: AC Module: 200-240 VAC selectable, 50/60 Hz, 3-wire single-phase; Temperature Controller: 200-240 VAC, 50/60 Hz, 3-wire single-phase; Vacuum Pump: 208-230/460 VAC, 60 Hz or 200-220/380 VAC, 50Hz, Three phase; RF Generator: 200-240 VAC; PC and Monitor: 115 VAC

CDA & N2: CDA, P ressure: 85 + 5 psig (5.98+0.35kg/cm2) filtered and dry; CDA, Flow: 10 lpm max; N2, Pressure: 15 + 5 psig (1.41+0.35kg/cm2) filtered and dry - 99.5%; N2, Flow: H2O <10 ppm filtered to < 0.1micron (absolute), 30 lpm max.

Cabinet Exhaust: 100 cfm (2,832 lpm) minimum.

Items AW-901eR AW-903eR

Applications Silicon Nitride Polyimide

Plating Seed Layers Silicon Oxide

Thin Film Resistors Contact/Via

Photoresist Planarization

Descum

PRIST

Polyimide

Pressure Range (Torr) 0-1000mT 0-5000mT

Pressure Control 225 sccm UPC 2000 sccm UPC

MFC(Typical, Customized) 50 sccm O2; 15 sccm N2 ;

60 sccm Argon 50 sccm CHF3

25 sccm CFCl3; 15 sccm SF6

100 sccm SF6 200 sccm He

Upper Electrode Gas inlet and outlet holes are contained in 1 piece Gas inlet and outlet holes are contained in separate pieces

429 inlet holes(0.031 dia) 593 inlet holes(0.008 to 0.016 dia)

120 outlet holes(0.062 dia) 60 outlet holes(0.130 dia)

Coolant flows around outside diameter Coolant flows around outside and through showerhead

Not anodized Showerhead is anodized (exhaust ring is not)

Pins Length (Inches) 1.79 2.125

Water Cooling 1 Chiller 1 or 2 Chiller

Wafer Ring Aluminum Ceramic (99.5% Alumina)

RF Cable to Chuck Different Length (26.25") Different Length (16")

Electrode Gap (mm) 38 6

Lower Electrode Not Anodized, Not Flat Aligned Anodized, Flat Aligned

AW-901eR, AW-903eR Typical Processes

AW-901eR AW-903eR

Material Etched Polysilicon Nitride Oxide,SOG,Nitride

Main Etchant Gases SG6, O2 SF6,O2 CHF3,SF6,He

Other Gases CHCLF2 None None

Pressure(mTorr) 200-450 250-350 1600-3000

RF Power(Watts) 100-250 200-300 400-600

Temperature(C) 30 30 23

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

Page 28: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Plasma Etcher, Please Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Gasonics AE 2001, Microwave Etcher, Microwave Plasma Etcher, Microwave Etch

Page 29: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM
Page 30: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Metal Film Metrology

ALLLWIN21 CORP.

AWgage-150

Introduction

AWgage-150 measures sheet resistance in ohms per square or milliohms per square. If specific resistivity is known, the thickness of the deposited film layer can be computed from the sheet resistance. The choice of measurement data is easily get in the software. AWgage-150 can accommodate 150mm (6") wafers as well as the standard 2", 3",4", 5" wafers without any hardware change.

AWgage-150 Key Features

30 years proven Eddy Sheet Resistance Measurement technology. Non-contact Sheet Resistance Measurement. 1mΩ/square to 19,990Ω/square sheet resistance measurement range. 100Å to 270kÅ Metal Film Thickness range. Touch Screen Monitor GUI and PC w/ Advanced Allwin21 software. Wafer carriage travel programmed with internal encoder step motor , without encoder disk. Consistent wafer-to-wafer process cycle repeatability. Small footprint and energy efficient. Made in U.S.A.

AWgage-150 Specifications

• Perform odd number of site tests: 1 to 9 points• Highly Conductive or Metal Sheet Resistance

1 to 1,999 mΩ/square 1 to 1,999 Ω/square 10 to 19,990 Ω/square

• Highly Conductive or Metal Film ThicknessMinimum: 100 Ångström Maximum: Proportional to resistivity. Maximum for a resistivity of 2.7 µΩ-cm is 270 k Å (27 µm)

• Sheet Resistance RepeatabilityTotal repeatability is the standard deviation (σ) percent of mean value(X),1 count.

Range s/X (±%)

1 to 100 mW/sq; W/sq 1 100 to 500 mW/sq; W/sq 2 500 to 1000 mW/sq; W/sq 4

1000 to 1,999 mW/sq; W/sq 6 1,999 to 5,000 W/sq Consult Factory 5000 to 10,000 W/sq Consult Factory 10,000 to 15,000 W/sq Consult Factory

E-mail: [email protected] Website: www.allwin21.com

Introduction

AWgage-150 Configuration

Main Frame Wafer Carriage (2”-6”) Measurement Head RF Tank Circuit board Pentium® class computer board Main control board Motor control board. Two USB Ports

Two Extra DB9 Ports 15–inch touch screen GUI Allwin21 Corp proprietary

software package. Mouse & keyboard . USB Flash Drive with AW

Software backup. CE Certification (Optional)

Mgage 200, Mgage 300, M-gage 200, M-gage 300,Sheet Resistant measurement, Metrology, Tencor M-Gage 300,Tencor M-Gage 200, sheet resistance, sheet resistance Measurement, Semiconductor Equipment, Semiconductor metrology Equipment, KLA-Tencor, Tencor

Page 31: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Sheet Resistance Measurement

ALLLWIN21 CORP.

AWgage-150 Software Key Features

The AWgage-150 system is controlled by menu commands from the control

software. This software allows a great deal of flexibility and control of the

Allwin21 system. The AWgage-150 control software features the following:

• Automated calibration of all subsystems from within the control

software. This allows faster and easier calibration, leading to enhanced

process results. The AWgage-150 allows calibration of the ohm and

milliohm measurements within the software for maximum performance

and accuracy. This provides a much easier and faster method to

calibrate the instrument than by adjusting pots on the back of the

traditional Sheet Resistance Measurement Instrument.

• The SOFTWARE can compensate for where the flat is on the carriage

to locate the test points.

• It features a recipe editor to create and edit recipes to fully automate

the processing of wafers on the Allwin21 system.

• Validation of the recipe so improper points will be revealed.

• Storage of multiple recipes, process data and calibration files so that

process and calibration results can be maintained and compared over

time.

• Passwords provide security for the system, recipe editing, diagnostics,

calibration and setup functions.

• Simple and easy to use menu screens which allow an automatic cycle

to be easily defined and executed.

• Troubleshooting features which allow engineers and service personnel

to activate individual subassemblies and functions.

AWgage-150 Facilities Requirements • Instrument needs to be on a hard/solid surface. • The room temperature should be close to 23° C for the greatest

measurement accuracy. • Avoid environments with high concentrations of particulates, especially

abrasives such as glass and silicon dust. • Power : 50/60Hz, Single Phase, 110/220VAC, 2 Amps • Weight and Dimensions: 44 LBs ; 11 inch (W) X 18 inch (D) X 22 inch (H)

AWgage-150 Measurement Processes

• Turn on the power to the AWgage-150. Allow 30 minutes for warming up.

• Select an existing recipe or create a new recipe. • When The carriage is in the loading position, place the wafer on

the carriage between the four locator blocks. The wafer will be centered over the circular platform that rotates the wafer.

• Manual Mode: Press the MAN/AUTO button, so the LED is off. Momentarily press the START/STANDBY button. The carriage will move the wafer under the measurement head to the first point in the measurement sequence. For multi-point measurements--press START/STANDBY once for each point in the measurement sequence. The wafer will be advanced to the next point. When the measurement sequence is complete the carriage will move to the right until the wafer is out from under the measurement head in the loading/unloading position. The wafer can be removed from the carriage after it has reached this position.

• Automatic Mode: Press the MAN/AUTO button on the AWgage-150 control panel until the LED comes on. Press the START/STANDBY button. The entire measurement sequence will be performed without further operator intervention. When the sequence is complete, the wafer will be moved to the unloading position.

• PC Screen Mode: Press the “Start Test” button at the Process Screens (for Engineer or Production) to test the wafer that is on the wafer carriage, using the selected recipe. The entire measurement sequence will be performed without further operator intervention. When the sequence is complete, the wafer will be moved to the unloading position.

• All data measurements are recorded in the computer for later retrieval, inspection and, if desired, a print-out of the measured points can be printed on an external printer.

Recipe Editor Start Test Testing Data

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

Page 32: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Sheet Resistance Measurement

ALLLWIN21 CORP.

AWgage-200

Introduction

AWgage-200 measures sheet resistance in ohms per square or milliohms per square. If specific resistivity is known, the thickness of the deposited film layer can be computed from the sheet resistance. The choice of measurement data is easily get in the software. AWgage-200 can accommodate 200mm (8") wafers as well as the standard 6" wafers without any hardware change.

AWgage-200 Key Features

30 years proven Eddy Sheet Resistance Measurement technology. Non-contact Sheet Resistance Measurement. 1mΩ/square to 19,990Ω/square sheet resistance measurement range. 100Å to 270kÅ Metal Film Thickness range. Touch Screen Monitor GUI and PC w/ Advanced Allwin21 software. Wafer carriage travel programmed with internal encoder step motor , without encoder disk. Consistent wafer-to-wafer process cycle repeatability. Small footprint and energy efficient. Made in U.S.A.

AWgage-200 Specifications

• Perform odd number of site tests: 1 to 9 points• Highly Conductive or Metal Sheet Resistance

1 to 1,999 mΩ/square 1 to 1,999 Ω/square 10 to 19,990 Ω/square

• Highly Conductive or Metal Film ThicknessMinimum: 100 Ångström Maximum: Proportional to resistivity. Maximum for a resistivity of 2.7 µΩ-cm is 270 k Å (27 µm)

• Sheet Resistance RepeatabilityTotal repeatability is the standard deviation (σ) percent of mean value(X),1 count.

Range s/X (±%)

1 to 100 mW/sq; W/sq 1 100 to 500 mW/sq; W/sq 2 500 to 1000 mW/sq; W/sq 4

1000 to 1,999 mW/sq; W/sq 6 1,999 to 5,000 W/sq Consult Factory 5000 to 10,000 W/sq Consult Factory 10,000 to 15,000 W/sq Consult Factory

E-mail: [email protected] Website: www.allwin21.com

Introduction

AWgage-200 Configuration

Main Frame Wafer Carriage (2”-6”) Measurement Head RF Tank Circuit board Pentium® class computer board Main control board Motor control board. Two USB Ports

Two Extra DB9 Ports 15–inch touch screen GUI Allwin21 Corp proprietary

software package. Mouse & keyboard . USB Flash Drive with AW

Software backup. CE Certification (Optional)

Mgage 200, Mgage 300, M-gage 200, M-gage 300,Sheet Resistant measurement, Metrology, Tencor M-Gage 300,Tencor M-Gage 200, sheet resistance, sheet resistance Measurement, Semiconductor Equipment, Semiconductor metrology Equipment, KLA-Tencor, Tencor

Page 33: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Sheet Resistance Measurement

ALLLWIN21 CORP.

AWgage-200 Software Key Features

The AWgage-200 system is controlled by menu commands from the

control software. This software allows a great deal of flexibility and control of

the Allwin21 system. The AWgage-200 control software features the

following:

• Automated calibration of all subsystems from within the control

software. This allows faster and easier calibration, leading to enhanced

process results. The AWgage-200 allows calibration of the ohm and

milliohm measurements within the software for maximum performance

and accuracy. This provides a much easier and faster method to

calibrate the instrument than by adjusting pots on the back of the

traditional Sheet Resistance Measurement Instrument.

• The SOFTWARE can compensate for where the flat is on the carriage

to locate the test points.

• It features a recipe editor to create and edit recipes to fully automate

the processing of wafers on the Allwin21 system.

• Validation of the recipe so improper points will be revealed.

• Storage of multiple recipes, process data and calibration files so that

process and calibration results can be maintained and compared over

time.

• Passwords provide security for the system, recipe editing, diagnostics,

calibration and setup functions.

• Simple and easy to use menu screens which allow an automatic cycle

to be easily defined and executed.

• Troubleshooting features which allow engineers and service personnel

to activate individual subassemblies and functions.

AWgage-200 Facilities Requirements • Instrument needs to be on a hard/solid surface. • The room temperature should be close to 23° C for the greatest

measurement accuracy. • Avoid environments with high concentrations of particulates, especially

abrasives such as glass and silicon dust. • Power : 50/60Hz, Single Phase, 110/220VAC, 2 Amps • Weight and Dimensions: 44 LBs ; 11 inch (W) X 18 inch (D) X 22 inch (H)

AWgage-200 Measurement Processes

• Turn on the power to the AWgage-200. Allow 30 minutes for warming up.

• Select an existing recipe or create a new recipe. • When The carriage is in the loading position, place the wafer on

the carriage between the four locator blocks. The wafer will be centered over the circular platform that rotates the wafer.

• Manual Mode: Press the MAN/AUTO button, so the LED is off. Momentarily press the START/STANDBY button. The carriage will move the wafer under the measurement head to the first point in the measurement sequence. For multi-point measurements--press START/STANDBY once for each point in the measurement sequence. The wafer will be advanced to the next point. When the measurement sequence is complete the carriage will move to the right until the wafer is out from under the measurement head in the loading/unloading position. The wafer can be removed from the carriage after it has reached this position.

• Automatic Mode: Press the MAN/AUTO button on the AWgage-200 control panel until the LED comes on. Press the START/STANDBY button. The entire measurement sequence will be performed without further operator intervention. When the sequence is complete, the wafer will be moved to the unloading position.

• PC Screen Mode: Press the “Start Test” button at the Process Screens (for Engineer or Production) to test the wafer that is on the wafer carriage, using the selected recipe. The entire measurement sequence will be performed without further operator intervention. When the sequence is complete, the wafer will be moved to the unloading position.

• All data measurements are recorded in the computer for later retrieval, inspection and, if desired, a print-out of the measured points can be printed on an external printer.

Recipe Editor Start Test Testing Data

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.

Page 34: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

o Real time graphics display, process data acquisition, and analysis.o Advanced Temperature Control for RTP and other “lamp-based” wafer

heating systems.o Precise parameters profiles tailored to suit specific process

requirements.o Consistent wafer-to-wafer process cycle repeatability.o Programmable comprehensive calibration of all subsystems from

within the software. This allows faster, easier calibration, leading toenhanced process results.

o Recipe creation. It features a recipe editor to create and edit recipes tofully automate the processing of wafers inside the process chamber.

o Validation of the recipe so improper control sequences will berevealed.

o Storage of multiple recipes, process data and calibration files so thatprocess and calibration results can be maintained and compared overtime.

Upgrade Kits

ALLLWIN21 CORP.

Upgrade Kits

Introduction

The Upgrade Kits include an advanced Allwin21 AW System Control with touch screen Graphic User Interface (GUI) and a new PC with Allwin21 real-time control Software. Most upgrade kits include new main control board, new wafer heating functions with Allwin21’s Advanced RTP technology, fixed cassette station instead of the original elevator, and robust 3-Axis integrated robotic wafer handling (instead of the original). The kits are easy to incorporate (plug-and-play) into the original systems. Onsite installation available for most of kits as well (so all facilities connections can stay in place). Each upgrade kit will enhance the entire systems’ operation and allow for far better Process Repeatability, Stability, and Uniformity.

Software Key Features

E-mail: [email protected] Website: www.allwin21.com

Introduction

o Passwords provide security for the system, recipe editing,diagnostics, calibration and setup functions

o Simple and easy to use menu screen which allow a processcycle to be easily defined and executed.

o Troubleshooting features which allows engineers and servicepersonnel to activate individual subassemblies and functions.More I/O, AD/DA “exposure”.

o The control board inside the machine that translates thecomputer commands to control the machine has a watchdogtimer. If this board looses communication with the controlsoftware, it will shut down all processes and halt the system untilcommunication is restored.

o Closed-loop process parameters control.o GEM/SECS II function (Optional).o Advanced Allwin21 EOP function (Optional)

Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Matrix 105, Matrix 205, Matrix 303, Matrix 403,Matrix 106,Matrix 104, Matrix 102,Matrix 101, Matrix 10

Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Branson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000, Barrel Asher, Barrel Etch, Barrel Etcher

Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Gasonics Aura 1000, Gasonics Aura 2000, Gasonics Aura 3000, Gasonics L3510, Gasonics Aura 3010

Plasma Etcher, Please Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Tegal 901e, Tegal 903e, Tegal 901e TTW, Tegal 915

Plasma Etcher, Please Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Gasonics AE 2001, Microwave Etcher, Microwave Plasma Etcher, Microwave Etch

Rapid Thermal Process, Rapid Thermal Processing, Rapid Thermal Anneal, Rapid Thermal Annealing, Rapid Thermal Oxidation, Rapid Thermal Nitride, RTA, RTP, RTO, RTN,, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, AG210, AG310, AG 410, AG610, AG 610I, AG Associates, Heatpulse 210, Heatpulse 410, Minipulse 310, Heatpulse 610, Heatpulse 610I, AG Heatpulse 410, AG Heatpulse 610, AG Heatpulse 210, AG Minipulse 310, Heatpulse 4100, Heatpulse 4108, Heatpulse 8108, Heatpulse 8800, Atmospheric Rapid Thermal Process, Vacuum Rapid Thermal Process, Furnace, Oven, Thermal Furnace, Thermal Process, Thermal Processing

Mgage 200, Mgage 300, M-gage 200, M-gage 300,Sheet Resistant measurement, Metrology, Tencor M-Gage 300,Tencor M-Gage 200, sheet resistance, sheet resistance Measurement, Semiconductor Equipment, Semiconductor metrology Equipment, KLA-Tencor, TencorPerkin-Elmer 4400, Perkin-Elmer 4410, Perkin-Elmer 4450,Perkin-Elmer 4480, Perkin-Elmer 2400, Perkin Elmer 4400, Perkin Elmer 4410, Perkin Elmer 4450,Perkin Elmer 4480, Perkin Elmer 2400, Sputter, Magnetron Sputter, Diode Sputter, DC Sputter, RF Sputter, DC Magnetron Sputter, RF Magnetron Sputter, Co-sputter, Reactive Sputter, MRC, MRC 603, MRC 903, MRC 602, MRC 902, MRC 604, MRC 904, MRC 924, Plasma Etch, Dry Clean, Bias Function, Cathode, Load lock, Degas, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment,, Thin Film, Metal Thin Film, Thin Film Deposition, PVD, Physical Vapor Deposition

Page 35: +Main... · 2020-05-14 · Author: Peter,Allwin21 Corp. Created Date: 11/17/2015 8:20:45 PM

Upgrade Kits

ALLLWIN21 CORP.

Why Upgrade Used Process Equipment

1) Low cost solution of obsolete components and parts.2) Increase stability of the original system.3) Add network function (GEM/SECS II) for Fab/Lab integration4) PC control for data storage.5) Friendly GUI operation.6) More precise control.7) Better performance (Repeatability, Uniformity, Stability)8) Easier maintenance, calibration and troubleshooting.

Main Upgrade Kits

Equipment Model Image Upgrade Kits

New PC Controller with AW Software Integrated Solid Robotic Wafer trnasfer

Heatpulse 210 Yes N/A

Heatpulse 410

Heatpulse 610

Perkin-Elmer 4XXX Yes N/A

Perkin-Elmer 24XX

Matrix 10X Yes

Matrix 20X

Matrix 30X

Matrix 40X

Tegal 901e Yes

Tegal 903e

Gasonics Aura 1000 Yes

Gasonics AE 2001

Gasonics Aura 3010 Yes

Gasonics L3510

Lam AutoEtch X90 Yes N/A

Branson/IPC 3000 Yes N/A

Allwin21 Corp. Address: 220 Cochrane Circle, Morgan Hill,CA95037, U.S.A.

Tel.: +1-408-778-7788 Fax: +1-408-904-7168

Email: [email protected]

All specification and information here are

subject to change without notice and cannot

be used for purchase and facility plan.